>HL_00090.1 "3U5F|1|6|C|70","3U5F|1|6|A|71","3U5F|1|6|A|72","3U5F|1|6|U|73","3U5F|1|6|U|74","3U5F|1|6|U|75","3U5F|1|6|A|76","3U5F|1|6|A|78","3U5F|1|6|C|79","3U5F|1|6|A|80","3U5F|1|6|G|81" >HL_00238.1 "2ZJR|1|X|A|2189","2ZJR|1|X|A|2190","2ZJR|1|X|U|2192","2ZJR|1|X|C|2193","2ZJR|1|X|A|2194","2ZJR|1|X|C|2195","2ZJR|1|X|U|2196" >HL_00721.1 >HL_01926.2 "3V2F|1|A|G|1949","3V2F|1|A|G|1950","3V2F|1|A|U|1951","3V2F|1|A|A|1952","3V2F|1|A|A|1953","3V2F|1|A|G|1954","3V2F|1|A|U|1956","3V2F|1|A|C|1957" "2QBG|1|B|G|1949","2QBG|1|B|G|1950","2QBG|1|B|U|1951","2QBG|1|B|A|1952","2QBG|1|B|A|1953","2QBG|1|B|G|1954","2QBG|1|B|U|1956","2QBG|1|B|C|1957" "2ZJR|1|X|G|1932","2ZJR|1|X|G|1933","2ZJR|1|X|U|1934","2ZJR|1|X|A|1935","2ZJR|1|X|A|1936","2ZJR|1|X|G|1937","2ZJR|1|X|U|1939","2ZJR|1|X|C|1940" "1S72|1|0|C|1990","1S72|1|0|A|1991","1S72|1|0|U|1992","1S72|1|0|C|1993","1S72|1|0|A|1994","1S72|1|0|G|1995","1S72|1|0|A|1997","1S72|1|0|G|1998" "4A1B|1|1|U|2287","4A1B|1|1|C|2288","4A1B|1|1|U|2289","4A1B|1|1|A|2290","4A1B|1|1|A|2291","4A1B|1|1|U|2292","4A1B|1|1|A|2294","4A1B|1|1|G|2295" "3U5H|1|5|U|2292","3U5H|1|5|C|2293","3U5H|1|5|U|2294","3U5H|1|5|A|2295","3U5H|1|5|A|2296","3U5H|1|5|U|2297","3U5H|1|5|A|2299","3U5H|1|5|G|2300" >HL_02479.1 "2ZJR|1|X|C|85","2ZJR|1|X|U|86","2ZJR|1|X|G|87","2ZJR|1|X|G|88","2ZJR|1|X|A|89","2ZJR|1|X|G|90","2ZJR|1|X|A|91","2ZJR|1|X|U|92","2ZJR|1|X|A|93","2ZJR|1|X|C|94","2ZJR|1|X|G|95" >HL_03431.1 "2ZJR|1|X|U|622","2ZJR|1|X|G|623","2ZJR|1|X|A|624","2ZJR|1|X|A|626","2ZJR|1|X|A|627" >HL_03791.1 "3TS0|1|U|A|6","3TS0|1|U|U|14" "3V2F|1|A|C|2794","3V2F|1|A|G|2802" >HL_04194.1 "3U5H|1|5|G|686","3U5H|1|5|U|687","3U5H|1|5|G|688","3U5H|1|5|A|690","3U5H|1|5|A|692","3U5H|1|5|A|693","3U5H|1|5|C|694" >HL_05113.1 >HL_05361.1 "2DU3|1|D|A|930","2DU3|1|D|C|931","2DU3|1|D|A|935","2DU3|1|D|G|936","2DU3|1|D|A|937","2DU3|1|D|U|938" "2DU6|1|D|A|930","2DU6|1|D|C|931","2DU6|1|D|A|935","2DU6|1|D|G|936","2DU6|1|D|A|937","2DU6|1|D|U|938" >HL_05510.1 "2ZJR|1|X|U|650","2ZJR|1|X|C|651","2ZJR|1|X|C|652","2ZJR|1|X|G|653","2ZJR|1|X|A|655","2ZJR|1|X|U|656","2ZJR|1|X|A|657","2ZJR|1|X|G|658","2ZJR|1|X|G|659" "2ZJR|1|X|G|2282","2ZJR|1|X|G|2283","2ZJR|1|X|U|2284","2ZJR|1|X|G|2286","2ZJR|1|X|A|2288","2ZJR|1|X|A|2289","2ZJR|1|X|A|2290","2ZJR|1|X|U|2291","2ZJR|1|X|C|2292" >HL_05797.1 "2XZM|1|A|C|483","2XZM|1|A|U|484","2XZM|1|A|U|485","2XZM|1|A|A|486","2XZM|1|A|C|487","2XZM|1|A|G|488" "3U5F|1|6|C|491","3U5F|1|6|A|492","3U5F|1|6|U|493","3U5F|1|6|U|494","3U5F|1|6|C|495","3U5F|1|6|G|496" >HL_06122.1 "1ET4|1|A|C|219","1ET4|1|A|A|220","1ET4|1|A|C|221","1ET4|1|A|C|222","1ET4|1|A|U|223","1ET4|1|A|A|225","1ET4|1|A|G|226" "1ET4|1|B|C|319","1ET4|1|B|A|320","1ET4|1|B|C|321","1ET4|1|B|C|322","1ET4|1|B|U|323","1ET4|1|B|A|325","1ET4|1|B|G|326" >HL_06312.1 "2QBG|1|B|G|543","2QBG|1|B|C|544","2QBG|1|B|U|545","2QBG|1|B|G|548","2QBG|1|B|C|550" "3SLQ|1|A|A|67","3SLQ|1|A|C|68","3SLQ|1|A|C|69","3SLQ|1|A|U|70","3SLQ|1|A|U|72" >HL_06643.2 "3ADC|1|C|G|15","3ADC|1|C|U|16","3ADC|1|C|G|19","3ADC|1|C|U|20","3ADC|1|C|C|20|||A" "3ADD|1|C|G|15","3ADD|1|C|U|16","3ADD|1|C|G|19","3ADD|1|C|U|20","3ADD|1|C|C|20|||A" "3RG5|1|A|G|15","3RG5|1|A|U|16","3RG5|1|A|G|19","3RG5|1|A|U|20","3RG5|1|A|C|20|||A" "3AM1|1|B|U|18","3AM1|1|B|U|19","3AM1|1|B|G|21","3AM1|1|B|U|22","3AM1|1|B|A|23" "3W3S|1|B|C|15","3W3S|1|B|U|16","3W3S|1|B|G|19","3W3S|1|B|U|20","3W3S|1|B|G|20|||A" >HL_07315.1 "2AZX|1|C|C|513","2AZX|1|C|A|514","2AZX|1|C|A|515","2AZX|1|C|A|521","2AZX|1|C|G|522" >HL_08382.2 "1JBS|1|D|C|13","1JBS|1|D|G|14","1JBS|1|D|A|15","1JBS|1|D|U|16","1JBS|1|D|A|17","1JBS|1|D|G|18" "3U5F|1|6|C|1359","3U5F|1|6|A|1360","3U5F|1|6|U|1361","3U5F|1|6|U|1362","3U5F|1|6|U|1363","3U5F|1|6|G|1364" "2QUW|1|B|C|42","2QUW|1|B|G|43","2QUW|1|B|U|44","2QUW|1|B|G|45","2QUW|1|B|A|46","2QUW|1|B|G|47" >HL_10116.1 "4A1C|1|2|C|72","4A1C|1|2|G|73","4A1C|1|2|A|74","4A1C|1|2|A|75","4A1C|1|2|U|76","4A1C|1|2|U|77","4A1C|1|2|G|78","4A1C|1|2|C|79","4A1C|1|2|A|80","4A1C|1|2|G|81","4A1C|1|2|A|82","4A1C|1|2|A|83","4A1C|1|2|C|84","4A1C|1|2|C|85","4A1C|1|2|G|86","4A1C|1|2|G|88","4A1C|1|2|A|89","4A1C|1|2|G|90" >HL_10378.1 "3U5F|1|6|C|777","3U5F|1|6|G|778","3U5F|1|6|A|780","3U5F|1|6|G|783" >HL_11509.1 "1S72|1|0|U|55","1S72|1|0|G|56","1S72|1|0|C|57","1S72|1|0|C|58","1S72|1|0|A|59","1S72|1|0|A|60","1S72|1|0|G|61","1S72|1|0|C|62","1S72|1|0|U|63","1S72|1|0|G|64" "2ZJR|1|X|C|58","2ZJR|1|X|G|59","2ZJR|1|X|A|60","2ZJR|1|X|U|61","2ZJR|1|X|U|62","2ZJR|1|X|A|63","2ZJR|1|X|C|64","2ZJR|1|X|C|65","2ZJR|1|X|U|66","2ZJR|1|X|G|67" "3V2F|1|A|U|59","3V2F|1|A|G|60","3V2F|1|A|G|61","3V2F|1|A|C|62","3V2F|1|A|U|63","3V2F|1|A|A|64","3V2F|1|A|C|65","3V2F|1|A|C|66","3V2F|1|A|U|67","3V2F|1|A|G|68" "4A1C|1|2|C|50","4A1C|1|2|A|51","4A1C|1|2|G|52","4A1C|1|2|C|53","4A1C|1|2|G|54","4A1C|1|2|A|55","4A1C|1|2|A|56","4A1C|1|2|A|57","4A1C|1|2|U|58","4A1C|1|2|G|59" "2QBG|1|B|U|59","2QBG|1|B|G|60","2QBG|1|B|C|61","2QBG|1|B|U|62","2QBG|1|B|A|63","2QBG|1|B|A|64","2QBG|1|B|U|65","2QBG|1|B|C|66","2QBG|1|B|U|67","2QBG|1|B|G|68" "2XD0|1|V|U|4","2XD0|1|V|G|5","2XD0|1|V|A|6","2XD0|1|V|U|7","2XD0|1|V|U|8","2XD0|1|V|U|9","2XD0|1|V|G|10","2XD0|1|V|C|11","2XD0|1|V|U|12","2XD0|1|V|A|13" >HL_11547.1 "3U5H|1|5|C|2616","3U5H|1|5|U|2617","3U5H|1|5|G|2619","3U5H|1|5|G|2620","3U5H|1|5|G|2621","3U5H|1|5|C|2622","3U5H|1|5|G|2623","3U5H|1|5|G|2624" "3V2F|1|A|C|2248","3V2F|1|A|U|2249","3V2F|1|A|G|2251","3V2F|1|A|G|2252","3V2F|1|A|G|2253","3V2F|1|A|C|2254","3V2F|1|A|G|2255","3V2F|1|A|G|2256" "2QBG|1|B|C|2248","2QBG|1|B|U|2249","2QBG|1|B|G|2251","2QBG|1|B|G|2252","2QBG|1|B|G|2253","2QBG|1|B|C|2254","2QBG|1|B|G|2255","2QBG|1|B|G|2256" "1S72|1|0|C|2281","1S72|1|0|U|2282","1S72|1|0|G|2284","1S72|1|0|G|2285","1S72|1|0|G|2286","1S72|1|0|C|2287","1S72|1|0|G|2288","1S72|1|0|G|2289" "4A1B|1|1|C|2605","4A1B|1|1|U|2606","4A1B|1|1|G|2608","4A1B|1|1|G|2609","4A1B|1|1|G|2610","4A1B|1|1|C|2611","4A1B|1|1|G|2612","4A1B|1|1|G|2613" "2ZJR|1|X|C|2227","2ZJR|1|X|U|2228","2ZJR|1|X|G|2230","2ZJR|1|X|G|2231","2ZJR|1|X|G|2232","2ZJR|1|X|C|2233","2ZJR|1|X|G|2234","2ZJR|1|X|G|2235" "3R4F|1|A|A|51","3R4F|1|A|U|52","3R4F|1|A|G|53","3R4F|1|A|G|54","3R4F|1|A|U|55","3R4F|1|A|U|56","3R4F|1|A|G|57","3R4F|1|A|U|58" "3RKF|1|A|G|46","3RKF|1|A|U|47","3RKF|1|A|U|48","3RKF|1|A|U|49","3RKF|1|A|C|50","3RKF|1|A|U|51","3RKF|1|A|A|52","3RKF|1|A|C|53" >HL_12595.1 "3L0U|1|A|C|13","3L0U|1|A|A|14","3L0U|1|A|G|15","3L0U|1|A|A|21","3L0U|1|A|G|22" "1QU2|1|T|C|13","1QU2|1|T|A|14","1QU2|1|T|G|15","1QU2|1|T|A|21|||A","1QU2|1|T|G|22" >HL_12706.1 "3SIU|1|C|C|40","3SIU|1|C|G|41","3SIU|1|C|U|44","3SIU|1|C|G|46" >HL_12811.1 "4A1C|1|2|C|109","4A1C|1|2|A|110","4A1C|1|2|A|111","4A1C|1|2|U|113","4A1C|1|2|G|115" "3U5H|1|8|C|108","3U5H|1|8|A|109","3U5H|1|8|C|110","3U5H|1|8|U|112","3U5H|1|8|G|114" "2XZM|1|A|C|185","2XZM|1|A|C|186","2XZM|1|A|U|187","2XZM|1|A|G|188","2XZM|1|A|G|190" "2ZJR|1|X|A|202","2ZJR|1|X|G|203","2ZJR|1|X|A|204","2ZJR|1|X|A|205","2ZJR|1|X|U|207" "3V2F|1|A|A|225","3V2F|1|A|G|226","3V2F|1|A|A|227","3V2F|1|A|A|228","3V2F|1|A|U|230" >HL_12984.1 "1P6V|1|B|G|44","1P6V|1|B|G|45","1P6V|1|B|U|46","1P6V|1|B|U|47","1P6V|1|B|C|48","1P6V|1|B|G|49","1P6V|1|B|A|50","1P6V|1|B|U|51","1P6V|1|B|U|52","1P6V|1|B|C|53","1P6V|1|B|C|54" >HL_13105.1 "3U5F|1|6|A|1550","3U5F|1|6|U|1551","3U5F|1|6|U|1552","3U5F|1|6|G|1553","3U5F|1|6|U|1554","3U5F|1|6|A|1555","3U5F|1|6|A|1556","3U5F|1|6|U|1560","3U5F|1|6|U|1561" >HL_13707.2 "1GID|1|B|C|166","1GID|1|B|U|167","1GID|1|B|G|169","1GID|1|B|C|170","1GID|1|B|A|171","1GID|1|B|A|172","1GID|1|B|A|173","1GID|1|B|G|174" "1GID|1|A|C|166","1GID|1|A|U|167","1GID|1|A|G|169","1GID|1|A|C|170","1GID|1|A|A|171","1GID|1|A|A|172","1GID|1|A|A|173","1GID|1|A|G|174" "1X8W|1|A|C|166","1X8W|1|A|U|167","1X8W|1|A|G|169","1X8W|1|A|C|170","1X8W|1|A|A|171","1X8W|1|A|A|172","1X8W|1|A|A|173","1X8W|1|A|G|174" >HL_13786.1 "3U5F|1|6|U|368","3U5F|1|6|A|370","3U5F|1|6|G|371","3U5F|1|6|G|372","3U5F|1|6|G|373" >HL_15603.1 "1URN|1|Q|C|5","1URN|1|Q|A|6","1URN|1|Q|U|7","1URN|1|Q|U|8","1URN|1|Q|G|9","1URN|1|Q|C|10","1URN|1|Q|A|11","1URN|1|Q|C|12","1URN|1|Q|U|13","1URN|1|Q|C|14","1URN|1|Q|C|15","1URN|1|Q|G|16" "3CUN|1|C|C|29","3CUN|1|C|A|30","3CUN|1|C|U|31","3CUN|1|C|U|32","3CUN|1|C|G|33","3CUN|1|C|C|34","3CUN|1|C|A|35","3CUN|1|C|C|36","3CUN|1|C|U|37","3CUN|1|C|C|38","3CUN|1|C|C|39","3CUN|1|C|G|40" "1VBY|1|B|C|147","1VBY|1|B|A|148","1VBY|1|B|U|149","1VBY|1|B|U|150","1VBY|1|B|G|151","1VBY|1|B|C|152","1VBY|1|B|A|153","1VBY|1|B|C|154","1VBY|1|B|U|155","1VBY|1|B|C|156","1VBY|1|B|C|157","1VBY|1|B|G|158" "2NZ4|1|R|C|17|||A","2NZ4|1|R|A|17|||B","2NZ4|1|R|U|17|||C","2NZ4|1|R|U|17|||D","2NZ4|1|R|G|17|||E","2NZ4|1|R|C|17|||F","2NZ4|1|R|A|17|||G","2NZ4|1|R|C|17|||H","2NZ4|1|R|U|17|||I","2NZ4|1|R|C|17|||J","2NZ4|1|R|C|17|||K","2NZ4|1|R|G|17|||L" "1U6B|1|B|C|1002","1U6B|1|B|A|1003","1U6B|1|B|U|1004","1U6B|1|B|U|1005","1U6B|1|B|G|1006","1U6B|1|B|C|1007","1U6B|1|B|A|1008","1U6B|1|B|C|1009","1U6B|1|B|U|1010","1U6B|1|B|C|1011","1U6B|1|B|C|1012","1U6B|1|B|G|1013" "3UCZ|1|R|C|65","3UCZ|1|R|A|660","3UCZ|1|R|U|661","3UCZ|1|R|U|662","3UCZ|1|R|G|663","3UCZ|1|R|C|664","3UCZ|1|R|A|665","3UCZ|1|R|C|666","3UCZ|1|R|U|667","3UCZ|1|R|C|668","3UCZ|1|R|C|669","3UCZ|1|R|G|75" "1M5O|1|E|C|35","1M5O|1|E|A|36","1M5O|1|E|U|37","1M5O|1|E|U|38","1M5O|1|E|G|39","1M5O|1|E|C|40","1M5O|1|E|A|41","1M5O|1|E|C|42","1M5O|1|E|U|43","1M5O|1|E|C|44","1M5O|1|E|C|45","1M5O|1|E|G|46" "3P49|1|A|C|722","3P49|1|A|A|723","3P49|1|A|U|724","3P49|1|A|U|725","3P49|1|A|G|726","3P49|1|A|C|727","3P49|1|A|A|728","3P49|1|A|C|729","3P49|1|A|U|730","3P49|1|A|C|731","3P49|1|A|C|732","3P49|1|A|G|733" >HL_15771.1 "2ZJR|1|X|C|1466","2ZJR|1|X|U|1467","2ZJR|1|X|A|1468","2ZJR|1|X|U|1469","2ZJR|1|X|G|1470","2ZJR|1|X|G|1471","2ZJR|1|X|C|1472","2ZJR|1|X|U|1473","2ZJR|1|X|A|1474","2ZJR|1|X|G|1476" >HL_16770.1 "387D|1|A|G|4","387D|1|A|A|5","387D|1|A|A|6","387D|1|A|G|7","387D|1|A|U|8","387D|1|A|G|9","387D|1|A|C|10","387D|1|A|A|11","387D|1|A|A|12","387D|1|A|C|13" "1F27|1|A|U|7","1F27|1|A|C|8","1F27|1|A|A|9","1F27|1|A|G|10","1F27|1|A|A|11","1F27|1|A|G|12","1F27|1|A|G|13","1F27|1|A|A|14","1F27|1|A|C|15","1F27|1|A|A|16" >HL_17008.1 >HL_17468.1 "1S72|1|0|C|195","1S72|1|0|G|196","1S72|1|0|A|198","1S72|1|0|A|199","1S72|1|0|G|201" >HL_17537.1 "4FAW|1|A|U|178","4FAW|1|A|A|181","4FAW|1|A|U|182","4FAW|1|A|A|183","4FAW|1|A|A|184","4FAW|1|A|C|185","4FAW|1|A|A|186","4FAW|1|A|A|187" >HL_17723.2 "3GX5|1|A|G|23","3GX5|1|A|A|24","3GX5|1|A|C|25","3GX5|1|A|U|26","3GX5|1|A|G|27","3GX5|1|A|G|28","3GX5|1|A|C|29" "4AOB|1|A|G|23","4AOB|1|A|A|24","4AOB|1|A|C|25","4AOB|1|A|U|26","4AOB|1|A|G|27","4AOB|1|A|G|28","4AOB|1|A|C|29" "4B5R|1|A|G|23","4B5R|1|A|A|24","4B5R|1|A|C|25","4B5R|1|A|U|26","4B5R|1|A|G|27","4B5R|1|A|G|28","4B5R|1|A|C|29" "3V7E|1|D|G|223","3V7E|1|D|A|224","3V7E|1|D|C|225","3V7E|1|D|U|226","3V7E|1|D|G|227","3V7E|1|D|G|228","3V7E|1|D|C|229" "3NPB|1|A|G|23","3NPB|1|A|A|24","3NPB|1|A|C|25","3NPB|1|A|U|26","3NPB|1|A|G|27","3NPB|1|A|G|28","3NPB|1|A|C|29" "3IVK|1|C|G|75","3IVK|1|C|G|77","3IVK|1|C|G|78","3IVK|1|C|C|79","3IVK|1|C|A|80","3IVK|1|C|G|81","3IVK|1|C|C|82" "3V2F|1|A|G|410","3V2F|1|A|A|412","3V2F|1|A|C|413","3V2F|1|A|C|414","3V2F|1|A|A|415","3V2F|1|A|C|416","3V2F|1|A|C|417" "2QBG|1|B|G|410","2QBG|1|B|A|412","2QBG|1|B|C|413","2QBG|1|B|C|414","2QBG|1|B|A|415","2QBG|1|B|U|416","2QBG|1|B|C|417" "2ZJR|1|X|G|423","2ZJR|1|X|A|425","2ZJR|1|X|C|426","2ZJR|1|X|C|427","2ZJR|1|X|A|428","2ZJR|1|X|C|429","2ZJR|1|X|C|430" "1WZ2|1|D|A|934","1WZ2|1|D|C|937","1WZ2|1|D|A|938","1WZ2|1|D|A|939","1WZ2|1|D|G|940","1WZ2|1|D|A|941","1WZ2|1|D|U|942" >HL_18011.1 "3ZD3|1|A|C|25","3ZD3|1|A|C|26","3ZD3|1|A|A|27","3ZD3|1|A|A|28","3ZD3|1|A|U|30","3ZD3|1|A|A|31","3ZD3|1|A|G|32" >HL_18156.1 "3V2F|1|A|G|2027","3V2F|1|A|U|2028","3V2F|1|A|G|2029","3V2F|1|A|A|2033","3V2F|1|A|U|2034","3V2F|1|A|G|2035","3V2F|1|A|C|2036" "2QBG|1|B|G|2027","2QBG|1|B|U|2028","2QBG|1|B|G|2029","2QBG|1|B|A|2033","2QBG|1|B|U|2034","2QBG|1|B|G|2035","2QBG|1|B|C|2036" "1S72|1|0|G|2068","1S72|1|0|U|2069","1S72|1|0|G|2070","1S72|1|0|A|2074","1S72|1|0|G|2075","1S72|1|0|U|2076","1S72|1|0|C|2077" "4A1B|1|1|G|2364","4A1B|1|1|G|2365","4A1B|1|1|G|2366","4A1B|1|1|G|2370","4A1B|1|1|G|2371","4A1B|1|1|G|2372","4A1B|1|1|C|2373" "3U5H|1|5|G|2369","3U5H|1|5|G|2370","3U5H|1|5|G|2371","3U5H|1|5|G|2375","3U5H|1|5|G|2376","3U5H|1|5|G|2377","3U5H|1|5|C|2378" "2ZJR|1|X|G|2010","2ZJR|1|X|U|2011","2ZJR|1|X|A|2012","2ZJR|1|X|A|2016","2ZJR|1|X|U|2017","2ZJR|1|X|G|2018","2ZJR|1|X|C|2019" >HL_18781.1 "1S72|1|0|C|1196","1S72|1|0|G|1197","1S72|1|0|U|1198","1S72|1|0|A|1199","1S72|1|0|A|1200","1S72|1|0|C|1201","1S72|1|0|A|1202","1S72|1|0|G|1203" "3U5H|1|5|U|1267","3U5H|1|5|G|1268","3U5H|1|5|U|1269","3U5H|1|5|A|1270","3U5H|1|5|A|1271","3U5H|1|5|C|1272","3U5H|1|5|A|1273","3U5H|1|5|A|1274" "1QA6|1|C|C|142","1QA6|1|C|G|143","1QA6|1|C|U|144","1QA6|1|C|A|145","1QA6|1|C|A|146","1QA6|1|C|U|147","1QA6|1|C|A|148","1QA6|1|C|G|149" "2QBG|1|B|C|1092","2QBG|1|B|G|1093","2QBG|1|B|U|1094","2QBG|1|B|A|1095","2QBG|1|B|A|1096","2QBG|1|B|U|1097","2QBG|1|B|A|1098","2QBG|1|B|G|1099" "4A1B|1|1|C|486","4A1B|1|1|G|487","4A1B|1|1|U|488","4A1B|1|1|A|489","4A1B|1|1|A|490","4A1B|1|1|A|491","4A1B|1|1|A|492","4A1B|1|1|G|493" "2ZJR|1|X|C|1103","2ZJR|1|X|G|1104","2ZJR|1|X|U|1105","2ZJR|1|X|A|1106","2ZJR|1|X|A|1107","2ZJR|1|X|U|1108","2ZJR|1|X|A|1109","2ZJR|1|X|G|1110" "2ZJR|1|X|C|2334","2ZJR|1|X|U|2335","2ZJR|1|X|G|2336","2ZJR|1|X|A|2337","2ZJR|1|X|C|2338","2ZJR|1|X|A|2339","2ZJR|1|X|C|2340","2ZJR|1|X|G|2341" "3V2F|1|A|G|712","3V2F|1|A|G|713","3V2F|1|A|U|714","3V2F|1|A|G|715","3V2F|1|A|A|716","3V2F|1|A|G|717","3V2F|1|A|A|718","3V2F|1|A|C|719" "4A1B|1|1|G|1569","4A1B|1|1|U|1570","4A1B|1|1|U|1571","4A1B|1|1|A|1572","4A1B|1|1|A|1573","4A1B|1|1|C|1574","4A1B|1|1|U|1575","4A1B|1|1|C|1576" "1E8O|1|E|G|110","1E8O|1|E|G|111","1E8O|1|E|U|112","1E8O|1|E|G|113","1E8O|1|E|G|114","1E8O|1|E|C|115","1E8O|1|E|G|116","1E8O|1|E|C|117" "3V2F|1|A|C|2355","3V2F|1|A|C|2356","3V2F|1|A|U|2357","3V2F|1|A|G|2358","3V2F|1|A|C|2359","3V2F|1|A|A|2360","3V2F|1|A|A|2361","3V2F|1|A|G|2362" "1NBS|1|A|C|118","1NBS|1|A|U|119","1NBS|1|A|U|120","1NBS|1|A|U|121","1NBS|1|A|A|122","1NBS|1|A|G|123","1NBS|1|A|A|124","1NBS|1|A|G|125" "3Q1Q|1|B|G|165","3Q1Q|1|B|G|166","3Q1Q|1|B|U|167","3Q1Q|1|B|A|168","3Q1Q|1|B|A|169","3Q1Q|1|B|G|170","3Q1Q|1|B|A|171","3Q1Q|1|B|C|173" "1U9S|1|A|G|181","1U9S|1|A|G|182","1U9S|1|A|U|183","1U9S|1|A|A|184","1U9S|1|A|A|185","1U9S|1|A|G|186","1U9S|1|A|A|187","1U9S|1|A|C|189" "2XZM|1|A|U|321","2XZM|1|A|G|322","2XZM|1|A|U|323","2XZM|1|A|A|324","2XZM|1|A|U|325","2XZM|1|A|U|326","2XZM|1|A|G|327","2XZM|1|A|A|329" "2QBG|1|B|G|712","2QBG|1|B|G|713","2QBG|1|B|U|714","2QBG|1|B|A|715","2QBG|1|B|A|716","2QBG|1|B|C|717","2QBG|1|B|A|718","2QBG|1|B|C|719" "2AW7|1|A|G|259","2AW7|1|A|G|260","2AW7|1|A|U|261","2AW7|1|A|A|262","2AW7|1|A|A|263","2AW7|1|A|C|264","2AW7|1|A|G|265","2AW7|1|A|C|267" "3U5F|1|6|G|330","3U5F|1|6|A|331","3U5F|1|6|U|332","3U5F|1|6|A|333","3U5F|1|6|G|334","3U5F|1|6|U|335","3U5F|1|6|G|336","3U5F|1|6|C|338" "1FJG|1|A|G|259","1FJG|1|A|G|260","1FJG|1|A|U|261","1FJG|1|A|A|262","1FJG|1|A|A|263","1FJG|1|A|U|264","1FJG|1|A|G|265","1FJG|1|A|C|267" "3NPB|1|A|C|94","3NPB|1|A|U|95","3NPB|1|A|C|96","3NPB|1|A|G|97","3NPB|1|A|A|98","3NPB|1|A|A|99","3NPB|1|A|C|100","3NPB|1|A|G|102" "2IL9|1|M|G|6118","2IL9|1|M|C|6119","2IL9|1|M|G|6119|||A","2IL9|1|M|A|6119|||B","2IL9|1|M|A|6119|||C","2IL9|1|M|A|6119|||D","2IL9|1|M|G|6119|||E","2IL9|1|M|C|6124" >HL_19132.1 "3IVK|1|C|G|92","3IVK|1|C|U|93","3IVK|1|C|U|94","3IVK|1|C|A|95","3IVK|1|C|A|96","3IVK|1|C|A|97","3IVK|1|C|A|98","3IVK|1|C|C|99" >HL_19221.2 "3DHS|1|A|G|31","3DHS|1|A|G|32","3DHS|1|A|U|33","3DHS|1|A|U|34","3DHS|1|A|U|35","3DHS|1|A|C|36" "2A64|1|A|G|31","2A64|1|A|G|32","2A64|1|A|U|33","2A64|1|A|U|34","2A64|1|A|U|35","2A64|1|A|C|36" "361D|1|B|G|86","361D|1|B|G|87","361D|1|B|C|88","361D|1|B|G|89","361D|1|B|A|89|||A","361D|1|B|C|90" >HL_19226.1 "2QUX|1|F|G|10","2QUX|1|F|A|11","2QUX|1|F|A|13","2QUX|1|F|G|15","2QUX|1|F|C|17" >HL_19399.1 "2QWY|1|A|A|24","2QWY|1|A|G|25","2QWY|1|A|U|26","2QWY|1|A|C|27","2QWY|1|A|G|28","2QWY|1|A|C|29","2QWY|1|A|G|30","2QWY|1|A|U|31","2QWY|1|A|G|32","2QWY|1|A|A|33","2QWY|1|A|A|35","2QWY|1|A|A|36","2QWY|1|A|A|37","2QWY|1|A|U|38","2QWY|1|A|G|39","2QWY|1|A|U|40" "2QWY|1|B|A|24","2QWY|1|B|G|25","2QWY|1|B|U|26","2QWY|1|B|C|27","2QWY|1|B|G|28","2QWY|1|B|C|29","2QWY|1|B|G|30","2QWY|1|B|U|31","2QWY|1|B|G|32","2QWY|1|B|A|33","2QWY|1|B|A|35","2QWY|1|B|A|36","2QWY|1|B|A|37","2QWY|1|B|U|38","2QWY|1|B|G|39","2QWY|1|B|U|40" >HL_19452.1 "4GCW|1|B|C|11","4GCW|1|B|U|12","4GCW|1|B|C|13","4GCW|1|B|A|14","4GCW|1|B|G|15","4GCW|1|B|G|19","4GCW|1|B|U|20","4GCW|1|B|A|21","4GCW|1|B|G|22","4GCW|1|B|A|23","4GCW|1|B|G|24" >HL_19905.1 "2AW7|1|A|C|83","2AW7|1|A|U|84","2AW7|1|A|U|85","2AW7|1|A|G|86" "2QBG|1|B|C|1728","2QBG|1|B|U|1729","2QBG|1|B|C|1730","2QBG|1|B|G|1731" >HL_20806.1 "2QBG|1|B|G|1449","2QBG|1|B|G|1450","2QBG|1|B|C|1451","2QBG|1|B|G|1452","2QBG|1|B|C|1454","2QBG|1|B|G|1455","2QBG|1|B|G|1456","2QBG|1|B|U|1457","2QBG|1|B|U|1458","2QBG|1|B|C|1461","2QBG|1|B|C|1462" >HL_20914.1 "2XZM|1|A|C|808","2XZM|1|A|A|809","2XZM|1|A|U|810","2XZM|1|A|U|811","2XZM|1|A|U|812","2XZM|1|A|U|813","2XZM|1|A|A|814","2XZM|1|A|U|815","2XZM|1|A|U|816","2XZM|1|A|G|817","2XZM|1|A|G|818","2XZM|1|A|U|819","2XZM|1|A|U|820","2XZM|1|A|C|821","2XZM|1|A|U|822","2XZM|1|A|U|823","2XZM|1|A|G|824" >HL_22614.1 "2QUW|1|B|C|32","2QUW|1|B|U|33","2QUW|1|B|G|34","2QUW|1|B|A|35","2QUW|1|B|U|36","2QUW|1|B|G|37" "3ZD3|1|A|C|15","3ZD3|1|A|U|16","3ZD3|1|A|G|17","3ZD3|1|A|A|18","3ZD3|1|A|U|19","3ZD3|1|A|G|20" >HL_23182.1 "4A1B|1|1|U|277","4A1B|1|1|U|278","4A1B|1|1|U|279","4A1B|1|1|G|280","4A1B|1|1|G|281","4A1B|1|1|G|282","4A1B|1|1|U|285","4A1B|1|1|G|286" "3U5H|1|5|U|278","3U5H|1|5|U|279","3U5H|1|5|U|280","3U5H|1|5|G|281","3U5H|1|5|G|282","3U5H|1|5|G|283","3U5H|1|5|U|286","3U5H|1|5|G|287" "1S72|1|0|G|390","1S72|1|0|U|391","1S72|1|0|U|392","1S72|1|0|G|393","1S72|1|0|G|394","1S72|1|0|A|395","1S72|1|0|U|398","1S72|1|0|C|399" >HL_23290.1 "1VFG|1|C|G|53","1VFG|1|C|U|54","1VFG|1|C|U|55","1VFG|1|C|C|56","1VFG|1|C|G|57","1VFG|1|C|A|58","1VFG|1|C|C|61" "3D2V|1|A|G|54","3D2V|1|A|G|55","3D2V|1|A|U|56","3D2V|1|A|A|57","3D2V|1|A|A|58","3D2V|1|A|U|59","3D2V|1|A|C|61" >HL_23522.1 "1S72|1|0|G|118","1S72|1|0|A|119","1S72|1|0|C|122" >HL_24473.3 "1WZ2|1|D|C|912","1WZ2|1|D|G|913","1WZ2|1|D|A|914","1WZ2|1|D|G|915","1WZ2|1|D|C|916","1WZ2|1|D|C|917","1WZ2|1|D|U|918","1WZ2|1|D|G|920","1WZ2|1|D|U|921","1WZ2|1|D|C|922","1WZ2|1|D|A|924","1WZ2|1|D|A|925","1WZ2|1|D|G|926" "2ZZM|1|B|C|12","2ZZM|1|B|A|13","2ZZM|1|B|A|14","2ZZM|1|B|G|15","2ZZM|1|B|C|16","2ZZM|1|B|C|17","2ZZM|1|B|U|17|||A","2ZZM|1|B|G|19","2ZZM|1|B|C|20","2ZZM|1|B|C|20|||A","2ZZM|1|B|A|21","2ZZM|1|B|A|22","2ZZM|1|B|G|23" >HL_24544.1 "4A1B|1|1|G|1624","4A1B|1|1|U|1625","4A1B|1|1|U|1626","4A1B|1|1|A|1627","4A1B|1|1|A|1628","4A1B|1|1|U|1629","4A1B|1|1|A|1630","4A1B|1|1|C|1633" "1S72|1|0|G|1498","1S72|1|0|U|1499","1S72|1|0|U|1500","1S72|1|0|A|1501","1S72|1|0|A|1502","1S72|1|0|U|1503","1S72|1|0|A|1504","1S72|1|0|C|1507" "2QBG|1|B|G|1389","2QBG|1|B|U|1390","2QBG|1|B|U|1391","2QBG|1|B|A|1392","2QBG|1|B|A|1393","2QBG|1|B|U|1394","2QBG|1|B|A|1395","2QBG|1|B|C|1398" "3V2F|1|A|G|1389","3V2F|1|A|U|1390","3V2F|1|A|U|1391","3V2F|1|A|A|1392","3V2F|1|A|A|1393","3V2F|1|A|U|1394","3V2F|1|A|A|1395","3V2F|1|A|C|1398" "2XZM|1|A|G|1566","2XZM|1|A|U|1567","2XZM|1|A|C|1568","2XZM|1|A|A|1569","2XZM|1|A|U|1570","2XZM|1|A|C|1571","2XZM|1|A|A|1572","2XZM|1|A|C|1574" "3U5H|1|5|G|1680","3U5H|1|5|U|1681","3U5H|1|5|U|1682","3U5H|1|5|A|1683","3U5H|1|5|U|1684","3U5H|1|5|C|1685","3U5H|1|5|U|1686","3U5H|1|5|U|1688" "4A1B|1|1|G|1704","4A1B|1|1|U|1705","4A1B|1|1|U|1706","4A1B|1|1|A|1707","4A1B|1|1|U|1708","4A1B|1|1|C|1709","4A1B|1|1|U|1710","4A1B|1|1|U|1712" "3U5F|1|6|G|1594","3U5F|1|6|U|1595","3U5F|1|6|C|1596","3U5F|1|6|A|1597","3U5F|1|6|U|1598","3U5F|1|6|C|1599","3U5F|1|6|A|1600","3U5F|1|6|C|1602" >HL_24707.1 "2AKE|1|B|C|13","2AKE|1|B|A|14","2AKE|1|B|A|21","2AKE|1|B|G|22" >HL_24711.1 "1L2X|1|A|G|7","1L2X|1|A|C|8","1L2X|1|A|A|9","1L2X|1|A|C|10","1L2X|1|A|C|11","1L2X|1|A|G|12","1L2X|1|A|C|14" "2A43|1|A|G|6","2A43|1|A|C|7","2A43|1|A|A|8","2A43|1|A|C|9","2A43|1|A|C|10","2A43|1|A|G|11","2A43|1|A|C|13" >HL_25124.1 "3DH3|1|F|C|2594","3DH3|1|F|G|2595","3DH3|1|F|U|2596","3DH3|1|F|G|2597","3DH3|1|F|A|2598","3DH3|1|F|G|2599" "3DH3|1|E|C|2594","3DH3|1|E|G|2595","3DH3|1|E|U|2596","3DH3|1|E|G|2597","3DH3|1|E|A|2598","3DH3|1|E|G|2599" "4A1B|1|1|C|3202","4A1B|1|1|G|3203","4A1B|1|1|A|3204","4A1B|1|1|A|3207","4A1B|1|1|A|3208","4A1B|1|1|G|3209" >HL_25175.1 "3U5H|1|5|C|1562","3U5H|1|5|C|1563","3U5H|1|5|U|1564","3U5H|1|5|G|1565","3U5H|1|5|A|1566","3U5H|1|5|U|1567","3U5H|1|5|U|1568","3U5H|1|5|U|1569","3U5H|1|5|U|1570","3U5H|1|5|A|1571","3U5H|1|5|U|1572","3U5H|1|5|G|1573","3U5H|1|5|C|1574","3U5H|1|5|A|1575","3U5H|1|5|G|1576","3U5H|1|5|G|1577" >HL_25195.1 "2HO7|1|B|A|38","2HO7|1|B|G|39","2HO7|1|B|G|40","2HO7|1|B|C|42","2HO7|1|B|A|43","2HO7|1|B|G|44","2HO7|1|B|G|45","2HO7|1|B|G|46","2HO7|1|B|U|47","2HO7|1|B|U|48","2HO7|1|B|A|50","2HO7|1|B|U|51" >HL_25197.1 "2ANN|1|B|C|5","2ANN|1|B|G|6","2ANN|1|B|G|7","2ANN|1|B|A|8","2ANN|1|B|U|9","2ANN|1|B|C|10","2ANN|1|B|A|11","2ANN|1|B|C|14","2ANN|1|B|A|15","2ANN|1|B|C|16","2ANN|1|B|C|17","2ANN|1|B|C|18","2ANN|1|B|A|19","2ANN|1|B|A|20","2ANN|1|B|G|21" >HL_26057.1 "3IVK|1|C|C|40","3IVK|1|C|G|41","3IVK|1|C|A|42","3IVK|1|C|A|43","3IVK|1|C|G|44" "2ZNI|1|C|C|13","2ZNI|1|C|G|14","2ZNI|1|C|A|15","2ZNI|1|C|U|19","2ZNI|1|C|G|21" >HL_26579.1 "2ZZN|1|C|A|31","2ZZN|1|C|C|32","2ZZN|1|C|A|36","2ZZN|1|C|A|38","2ZZN|1|C|U|39" >HL_27397.1 "3KFU|1|K|G|31","3KFU|1|K|C|32","3KFU|1|K|G|34","3KFU|1|K|U|35","3KFU|1|K|U|36","3KFU|1|K|A|38","3KFU|1|K|C|39" >HL_27429.2 "3V2F|1|A|G|382","3V2F|1|A|U|383","3V2F|1|A|U|384","3V2F|1|A|C|385","3V2F|1|A|U|387","3V2F|1|A|G|388","3V2F|1|A|G|389","3V2F|1|A|A|390","3V2F|1|A|G|391","3V2F|1|A|C|392" "2ZJR|1|X|G|395","2ZJR|1|X|U|396","2ZJR|1|X|U|397","2ZJR|1|X|C|398","2ZJR|1|X|U|400","2ZJR|1|X|G|401","2ZJR|1|X|A|402","2ZJR|1|X|A|403","2ZJR|1|X|A|404","2ZJR|1|X|C|405" "2QBG|1|B|A|382","2QBG|1|B|C|383","2QBG|1|B|A|384","2QBG|1|B|C|385","2QBG|1|B|U|387","2QBG|1|B|G|388","2QBG|1|B|G|389","2QBG|1|B|U|390","2QBG|1|B|A|391","2QBG|1|B|U|392" >HL_27819.1 "3SD3|1|A|A|33","3SD3|1|A|A|34","3SD3|1|A|U|35","3SD3|1|A|G|37","3SD3|1|A|G|38","3SD3|1|A|A|39","3SD3|1|A|U|40","3SD3|1|A|G|41","3SD3|1|A|U|42","3SD3|1|A|G|44","3SD3|1|A|U|45" >HL_28436.2 "4A1B|1|1|U|3057","4A1B|1|1|G|3058","4A1B|1|1|A|3059","4A1B|1|1|A|3060","4A1B|1|1|A|3061","4A1B|1|1|A|3062" "3U5H|1|5|U|3068","3U5H|1|5|G|3069","3U5H|1|5|A|3070","3U5H|1|5|U|3071","3U5H|1|5|C|3072","3U5H|1|5|A|3073" "1S72|1|0|C|2737","1S72|1|0|G|2738","1S72|1|0|A|2739","1S72|1|0|G|2740","1S72|1|0|A|2741","1S72|1|0|G|2742" "2ZJR|1|X|U|2680","2ZJR|1|X|A|2681","2ZJR|1|X|C|2682","2ZJR|1|X|C|2683","2ZJR|1|X|A|2684","2ZJR|1|X|A|2685" "2QBG|1|B|U|2701","2QBG|1|B|G|2702","2QBG|1|B|C|2703","2QBG|1|B|C|2704","2QBG|1|B|A|2705","2QBG|1|B|A|2706" "3OWZ|1|A|G|49","3OWZ|1|A|G|50","3OWZ|1|A|A|51","3OWZ|1|A|A|52","3OWZ|1|A|A|53","3OWZ|1|A|C|54" "4GXY|1|A|G|65","4GXY|1|A|C|67","4GXY|1|A|C|68","4GXY|1|A|C|69","4GXY|1|A|G|70","4GXY|1|A|C|71" "1U6B|1|B|C|70","1U6B|1|B|G|71","1U6B|1|B|C|72","1U6B|1|B|C|73","1U6B|1|B|C|74","1U6B|1|B|G|75" "3OWZ|1|A|G|17","3OWZ|1|A|U|19","3OWZ|1|A|U|20","3OWZ|1|A|A|21","3OWZ|1|A|U|23","3OWZ|1|A|C|24" >HL_29084.1 "3P49|1|A|C|122","3P49|1|A|U|123","3P49|1|A|A|124","3P49|1|A|A|125","3P49|1|A|U|126","3P49|1|A|U|128","3P49|1|A|U|129","3P49|1|A|A|130","3P49|1|A|G|131" >HL_29831.1 "1FJG|1|A|C|458","1FJG|1|A|G|459","1FJG|1|A|A|460","1FJG|1|A|G|462","1FJG|1|A|A|463","1FJG|1|A|G|474" "2QBG|1|B|C|487","2QBG|1|B|G|488","2QBG|1|B|G|489","2QBG|1|B|G|491","2QBG|1|B|A|492","2QBG|1|B|G|493" "2AW7|1|A|U|1165","2AW7|1|A|G|1166","2AW7|1|A|A|1167","2AW7|1|A|A|1169","2AW7|1|A|A|1170","2AW7|1|A|A|1171" "3V2F|1|A|U|1720","3V2F|1|A|G|1721","3V2F|1|A|A|1722","3V2F|1|A|G|1740","3V2F|1|A|A|1741","3V2F|1|A|G|1742" >HL_30128.1 "2AW7|1|A|G|462","2AW7|1|A|U|463","2AW7|1|A|U|464","2AW7|1|A|A|465","2AW7|1|A|A|466","2AW7|1|A|U|467","2AW7|1|A|A|468","2AW7|1|A|C|469","2AW7|1|A|C|470" >HL_30366.1 "2QBG|1|B|U|158","2QBG|1|B|G|159","2QBG|1|B|A|160","2QBG|1|B|A|161","2QBG|1|B|U|162","2QBG|1|B|C|163","2QBG|1|B|C|164","2QBG|1|B|A|165","2QBG|1|B|U|166","2QBG|1|B|A|167","2QBG|1|B|G|168" >HL_30731.1 >HL_32644.1 "3V2F|1|A|G|1910","3V2F|1|A|U|1911","3V2F|1|A|A|1912","3V2F|1|A|C|1914","3V2F|1|A|U|1915","3V2F|1|A|A|1916","3V2F|1|A|U|1917","3V2F|1|A|A|1918","3V2F|1|A|A|1919","3V2F|1|A|C|1920" "3U5H|1|5|G|2253","3U5H|1|5|U|2254","3U5H|1|5|A|2255","3U5H|1|5|C|2257","3U5H|1|5|U|2258","3U5H|1|5|A|2259","3U5H|1|5|U|2260","3U5H|1|5|G|2261","3U5H|1|5|A|2262","3U5H|1|5|C|2263" "2QBG|1|B|G|1910","2QBG|1|B|U|1911","2QBG|1|B|A|1912","2QBG|1|B|C|1914","2QBG|1|B|U|1915","2QBG|1|B|A|1916","2QBG|1|B|U|1917","2QBG|1|B|A|1918","2QBG|1|B|A|1919","2QBG|1|B|C|1920" >HL_33277.1 "3V2F|1|A|C|1451","3V2F|1|A|A|1452","3V2F|1|A|G|1455","3V2F|1|A|G|1456","3V2F|1|A|A|1457","3V2F|1|A|C|1458","3V2F|1|A|G|1459" >HL_33402.1 "3V2F|1|A|C|246","3V2F|1|A|G|247","3V2F|1|A|G|248","3V2F|1|A|C|249","3V2F|1|A|G|250","3V2F|1|A|A|251","3V2F|1|A|G|252" "3U5H|1|5|C|90","3U5H|1|5|G|91","3U5H|1|5|G|92","3U5H|1|5|C|93","3U5H|1|5|G|94","3U5H|1|5|A|95","3U5H|1|5|G|96" "2QBG|1|B|C|246","2QBG|1|B|G|247","2QBG|1|B|G|248","2QBG|1|B|C|249","2QBG|1|B|G|250","2QBG|1|B|A|251","2QBG|1|B|G|252" "4A1B|1|1|U|88","4A1B|1|1|G|89","4A1B|1|1|G|90","4A1B|1|1|C|91","4A1B|1|1|G|92","4A1B|1|1|A|93","4A1B|1|1|A|94" "2ZJR|1|X|C|223","2ZJR|1|X|G|224","2ZJR|1|X|G|225","2ZJR|1|X|C|226","2ZJR|1|X|G|227","2ZJR|1|X|A|228","2ZJR|1|X|G|229" "1S72|1|0|C|217","1S72|1|0|C|218","1S72|1|0|G|219","1S72|1|0|C|220","1S72|1|0|G|221","1S72|1|0|A|222","1S72|1|0|G|223" "3U5F|1|6|U|1396","3U5F|1|6|U|1397","3U5F|1|6|U|1398","3U5F|1|6|C|1399","3U5F|1|6|A|1400","3U5F|1|6|A|1401","3U5F|1|6|G|1402" >HL_33451.1 "2NZ4|1|R|A|31","2NZ4|1|R|G|32","2NZ4|1|R|G|33","2NZ4|1|R|G|35","2NZ4|1|R|G|36","2NZ4|1|R|G|37","2NZ4|1|R|G|38","2NZ4|1|R|U|39","2NZ4|1|R|U|40","2NZ4|1|R|A|42","2NZ4|1|R|U|43" "2NZ4|1|S|A|31","2NZ4|1|S|G|32","2NZ4|1|S|G|33","2NZ4|1|S|G|35","2NZ4|1|S|G|36","2NZ4|1|S|G|37","2NZ4|1|S|G|38","2NZ4|1|S|U|39","2NZ4|1|S|U|40","2NZ4|1|S|A|42","2NZ4|1|S|U|43" >HL_33524.1 "1EIY|1|C|G|53","1EIY|1|C|U|54","1EIY|1|C|U|55","1EIY|1|C|A|58","1EIY|1|C|C|61" >HL_33640.1 "1S72|1|0|C|83","1S72|1|0|G|84","1S72|1|0|C|85","1S72|1|0|A|86","1S72|1|0|G|88","1S72|1|0|G|89","1S72|1|0|A|90","1S72|1|0|G|91" >HL_34027.1 "2QBG|1|A|C|38","2QBG|1|A|A|39","2QBG|1|A|U|40","2QBG|1|A|C|42","2QBG|1|A|C|43","2QBG|1|A|G|44" "1MJI|1|C|C|38","1MJI|1|C|A|39","1MJI|1|C|U|40","1MJI|1|C|C|42","1MJI|1|C|C|43","1MJI|1|C|G|44" "1S72|1|9|C|37","1S72|1|9|A|38","1S72|1|9|U|39","1S72|1|9|C|41","1S72|1|9|C|42","1S72|1|9|G|43" "3V2F|1|B|C|38","3V2F|1|B|A|39","3V2F|1|B|U|40","3V2F|1|B|C|42","3V2F|1|B|C|43","3V2F|1|B|G|44" "4A1C|1|3|C|36","4A1C|1|3|A|37","4A1C|1|3|U|38","4A1C|1|3|U|39","4A1C|1|3|C|40","4A1C|1|3|G|41" "3U5H|1|7|C|36","3U5H|1|7|G|37","3U5H|1|7|U|38","3U5H|1|7|C|39","3U5H|1|7|C|40","3U5H|1|7|G|41" "3ADD|1|C|C|47|||D","3ADD|1|C|G|47|||E","3ADD|1|C|A|47|||F","3ADD|1|C|G|47|||G","3ADD|1|C|U|47|||H","3ADD|1|C|G|47|||I" >HL_34108.1 "2ZJR|1|X|U|839","2ZJR|1|X|U|840","2ZJR|1|X|G|841","2ZJR|1|X|A|842","2ZJR|1|X|G|844" "2QBG|1|B|U|826","2QBG|1|B|U|827","2QBG|1|B|U|828","2QBG|1|B|A|829","2QBG|1|B|G|831" "1S72|1|0|U|919","1S72|1|0|C|920","1S72|1|0|G|921","1S72|1|0|A|922","1S72|1|0|G|924" "3V2F|1|A|U|826","3V2F|1|A|U|827","3V2F|1|A|U|828","3V2F|1|A|A|829","3V2F|1|A|G|831" "4A1B|1|1|U|983","4A1B|1|1|C|984","4A1B|1|1|U|985","4A1B|1|1|C|986","4A1B|1|1|G|988" "3U5H|1|5|C|958","3U5H|1|5|C|959","3U5H|1|5|U|960","3U5H|1|5|C|961","3U5H|1|5|G|963" >HL_34440.1 "3KTW|1|C|U|163","3KTW|1|C|G|164","3KTW|1|C|G|165","3KTW|1|C|A|166","3KTW|1|C|G|167","3KTW|1|C|G|168" >HL_35004.1 "2XZM|1|A|G|269","2XZM|1|A|U|270","2XZM|1|A|U|272","2XZM|1|A|A|273","2XZM|1|A|C|274" >HL_35200.1 "3V2F|1|A|C|612","3V2F|1|A|G|613","3V2F|1|A|U|614","3V2F|1|A|U|614|||A","3V2F|1|A|A|614|||C","3V2F|1|A|G|615" >HL_35865.1 "1U63|1|B|G|20","1U63|1|B|A|22","1U63|1|B|C|23","1U63|1|B|U|24","1U63|1|B|C|25" >HL_36842.1 "4A1B|1|1|A|1593","4A1B|1|1|C|1594","4A1B|1|1|A|1595","4A1B|1|1|U|1596","4A1B|1|1|U|1597","4A1B|1|1|C|1598","4A1B|1|1|G|1599","4A1B|1|1|U|1600" >HL_37962.1 "2QBZ|1|X|C|99","2QBZ|1|X|G|100","2QBZ|1|X|C|102","2QBZ|1|X|A|103","2QBZ|1|X|U|104","2QBZ|1|X|A|105","2QBZ|1|X|A|106","2QBZ|1|X|G|107" >HL_38130.2 "1XPE|1|A|G|7","1XPE|1|A|A|8","1XPE|1|A|A|9","1XPE|1|A|G|10","1XPE|1|A|C|11","1XPE|1|A|G|12","1XPE|1|A|C|13","1XPE|1|A|G|14","1XPE|1|A|C|15","1XPE|1|A|A|16","1XPE|1|A|C|17" "1XPE|1|B|G|7","1XPE|1|B|A|8","1XPE|1|B|A|9","1XPE|1|B|G|10","1XPE|1|B|C|11","1XPE|1|B|G|12","1XPE|1|B|C|13","1XPE|1|B|G|14","1XPE|1|B|C|15","1XPE|1|B|A|16","1XPE|1|B|C|17" >HL_38138.1 "1I9V|1|A|C|13","1I9V|1|A|A|14","1I9V|1|A|G|15","1I9V|1|A|G|20","1I9V|1|A|A|21","1I9V|1|A|G|22" >HL_38897.1 "1S03|1|B|A|22","1S03|1|B|G|23","1S03|1|B|G|24","1S03|1|B|C|25","1S03|1|B|A|26","1S03|1|B|A|27","1S03|1|B|U|29" >HL_38898.1 >HL_39422.1 "3A2K|1|C|G|32","3A2K|1|C|C|33","3A2K|1|C|U|34","3A2K|1|C|A|36","3A2K|1|C|U|37","3A2K|1|C|A|38","3A2K|1|C|C|40" >HL_39486.1 "3V2F|1|A|C|884","3V2F|1|A|C|885","3V2F|1|A|C|886","3V2F|1|A|C|888","3V2F|1|A|C|889","3V2F|1|A|A|890","3V2F|1|A|G|892" >HL_39872.1 "2QBG|1|B|G|2819","2QBG|1|B|A|2821","2QBG|1|B|G|2822","2QBG|1|B|A|2823","2QBG|1|B|C|2824","2QBG|1|B|G|2825","2QBG|1|B|A|2826","2QBG|1|B|C|2827" "4A1B|1|1|G|3263","4A1B|1|1|A|3265","4A1B|1|1|G|3266","4A1B|1|1|A|3267","4A1B|1|1|C|3268","4A1B|1|1|G|3269","4A1B|1|1|A|3270","4A1B|1|1|C|3271" "3U5H|1|5|G|3303","3U5H|1|5|A|3305","3U5H|1|5|U|3306","3U5H|1|5|A|3307","3U5H|1|5|C|3308","3U5H|1|5|G|3309","3U5H|1|5|A|3310","3U5H|1|5|C|3311" "1S72|1|0|G|2836","1S72|1|0|A|2838","1S72|1|0|C|2839","1S72|1|0|A|2840","1S72|1|0|A|2841","1S72|1|0|G|2842","1S72|1|0|A|2843","1S72|1|0|C|2844" "3V2F|1|A|G|2819","3V2F|1|A|A|2821","3V2F|1|A|G|2822","3V2F|1|A|A|2823","3V2F|1|A|C|2824","3V2F|1|A|C|2825","3V2F|1|A|A|2826","3V2F|1|A|C|2827" "2ZJR|1|X|G|2794","2ZJR|1|X|A|2796","2ZJR|1|X|G|2797","2ZJR|1|X|A|2798","2ZJR|1|X|C|2799","2ZJR|1|X|C|2800","2ZJR|1|X|A|2801","2ZJR|1|X|C|2802" >HL_39895.3 "1I6U|1|D|C|16","1I6U|1|D|U|17","1I6U|1|D|C|19","1I6U|1|D|G|20","1I6U|1|D|G|21" "3V2F|1|A|C|1691","3V2F|1|A|U|1692","3V2F|1|A|C|1694","3V2F|1|A|G|1695","3V2F|1|A|G|1696" "2QBG|1|B|C|1691","2QBG|1|B|U|1692","2QBG|1|B|C|1694","2QBG|1|B|G|1695","2QBG|1|B|G|1696" "2HW8|1|B|C|15","2HW8|1|B|U|16","2HW8|1|B|C|18","2HW8|1|B|G|19","2HW8|1|B|G|20" "2ZJR|1|X|C|1708","2ZJR|1|X|U|1709","2ZJR|1|X|C|1711","2ZJR|1|X|G|1712","2ZJR|1|X|G|1713" "1UN6|1|F|C|15","1UN6|1|F|U|16","1UN6|1|F|C|18","1UN6|1|F|G|19","1UN6|1|F|G|64" "1S72|1|0|C|1769","1S72|1|0|U|1770","1S72|1|0|C|1772","1S72|1|0|G|1773","1S72|1|0|G|1774" "4AY2|1|C|C|8","4AY2|1|C|U|9","4AY2|1|C|C|11","4AY2|1|C|G|12","4AY2|1|C|G|13" "3U5F|1|6|C|414","3U5F|1|6|C|415","3U5F|1|6|A|417","3U5F|1|6|G|418","3U5F|1|6|G|419" "1FJG|1|A|C|419","1FJG|1|A|U|420","1FJG|1|A|C|422","1FJG|1|A|G|423","1FJG|1|A|G|424" "4A1B|1|1|C|1947","4A1B|1|1|U|1948","4A1B|1|1|C|1950","4A1B|1|1|G|1951","4A1B|1|1|G|1952" "2AW7|1|A|C|342","2AW7|1|A|U|343","2AW7|1|A|C|345","2AW7|1|A|G|346","2AW7|1|A|G|347" "2AW7|1|A|C|1449","2AW7|1|A|U|1450","2AW7|1|A|C|1452","2AW7|1|A|G|1453","2AW7|1|A|G|1454" "3U5H|1|5|C|1923","3U5H|1|5|U|1924","3U5H|1|5|C|1926","3U5H|1|5|G|1927","3U5H|1|5|G|1928" "3UMY|1|B|C|2143","3UMY|1|B|U|2144","3UMY|1|B|C|2146","3UMY|1|B|G|2147","3UMY|1|B|G|2148" "1F7Y|1|B|C|8","1F7Y|1|B|U|9","1F7Y|1|B|C|11","1F7Y|1|B|G|12","1F7Y|1|B|G|13" "1FJG|1|A|C|342","1FJG|1|A|U|343","1FJG|1|A|C|345","1FJG|1|A|G|346","1FJG|1|A|G|347" "1FJG|1|A|C|1449","1FJG|1|A|U|1450","1FJG|1|A|C|1452","1FJG|1|A|G|1453","1FJG|1|A|G|1454" "2AW7|1|A|C|419","2AW7|1|A|U|420","2AW7|1|A|C|422","2AW7|1|A|G|423","2AW7|1|A|G|424" "1YKV|1|D|C|220","1YKV|1|D|U|221","1YKV|1|D|C|223","1YKV|1|D|G|224","1YKV|1|D|G|225" "1NBS|1|B|C|152","1NBS|1|B|U|153","1NBS|1|B|C|155","1NBS|1|B|G|156","1NBS|1|B|G|157" "2QBG|1|B|C|1533","2QBG|1|B|U|1534","2QBG|1|B|C|1536","2QBG|1|B|G|1537","2QBG|1|B|G|1538" "1F7Y|1|B|C|32","1F7Y|1|B|U|33","1F7Y|1|B|C|35","1F7Y|1|B|G|36","1F7Y|1|B|G|37" "2XZM|1|A|C|405","2XZM|1|A|U|406","2XZM|1|A|C|408","2XZM|1|A|G|409","2XZM|1|A|G|410" "2AW7|1|A|C|207","2AW7|1|A|U|208","2AW7|1|A|C|210","2AW7|1|A|G|211","2AW7|1|A|G|212" "4FAW|1|A|G|338","4FAW|1|A|U|339","4FAW|1|A|C|341","4FAW|1|A|G|342","4FAW|1|A|C|343" "2AW7|1|A|C|1028","2AW7|1|A|U|1029","2AW7|1|A|C|1031","2AW7|1|A|G|1032","2AW7|1|A|G|1033" "3V7E|1|D|C|265","3V7E|1|D|U|266","3V7E|1|D|C|268","3V7E|1|D|G|269","3V7E|1|D|G|270" "4A1B|1|1|C|626","4A1B|1|1|U|627","4A1B|1|1|A|629","4A1B|1|1|G|630","4A1B|1|1|G|631" "2NUE|1|C|C|21","2NUE|1|C|G|22","2NUE|1|C|A|24","2NUE|1|C|A|25","2NUE|1|C|G|26" "3U5F|1|6|C|230","3U5F|1|6|U|231","3U5F|1|6|C|233","3U5F|1|6|G|234","3U5F|1|6|G|235" "3U5H|1|5|C|543","3U5H|1|5|C|544","3U5H|1|5|C|546","3U5H|1|5|G|547","3U5H|1|5|G|548" "2QBG|1|B|U|137","2QBG|1|B|U|138","2QBG|1|B|C|140","2QBG|1|B|G|141","2QBG|1|B|A|142" "3V2F|1|A|C|2143","3V2F|1|A|U|2144","3V2F|1|A|C|2146","3V2F|1|A|G|2147","3V2F|1|A|G|2148" >HL_39942.1 "3V2F|1|A|U|2528","3V2F|1|A|A|2530","3V2F|1|A|A|2531","3V2F|1|A|G|2532","3V2F|1|A|A|2533","3V2F|1|A|A|2534","3V2F|1|A|G|2535" "2QBG|1|B|U|2528","2QBG|1|B|A|2530","2QBG|1|B|A|2531","2QBG|1|B|G|2532","2QBG|1|B|U|2533","2QBG|1|B|A|2534","2QBG|1|B|G|2535" "2ZJR|1|X|U|2507","2ZJR|1|X|A|2509","2ZJR|1|X|A|2510","2ZJR|1|X|G|2511","2ZJR|1|X|A|2512","2ZJR|1|X|A|2513","2ZJR|1|X|G|2514" "1S72|1|0|U|2563","1S72|1|0|C|2565","1S72|1|0|A|2566","1S72|1|0|G|2567","1S72|1|0|A|2568","1S72|1|0|A|2569","1S72|1|0|G|2570" "4A1B|1|1|A|2885","4A1B|1|1|C|2887","4A1B|1|1|A|2888","4A1B|1|1|G|2889","4A1B|1|1|A|2890","4A1B|1|1|A|2891","4A1B|1|1|U|2892" "3U5H|1|5|A|2897","3U5H|1|5|C|2899","3U5H|1|5|A|2900","3U5H|1|5|G|2901","3U5H|1|5|A|2902","3U5H|1|5|A|2903","3U5H|1|5|U|2904" >HL_41827.1 "1FJG|1|A|G|1134","1FJG|1|A|U|1135","1FJG|1|A|U|1136","1FJG|1|A|C|1137","1FJG|1|A|G|1138","1FJG|1|A|G|1139","1FJG|1|A|C|1140" "1S72|1|0|C|136","1S72|1|0|U|137","1S72|1|0|U|138","1S72|1|0|C|139","1S72|1|0|G|140","1S72|1|0|C|141","1S72|1|0|G|142" "2AW7|1|A|G|1134","2AW7|1|A|U|1135","2AW7|1|A|C|1136","2AW7|1|A|C|1137","2AW7|1|A|G|1138","2AW7|1|A|G|1139","2AW7|1|A|C|1140" >HL_41833.1 "1S72|1|0|C|2298","1S72|1|0|G|2299","1S72|1|0|A|2300","1S72|1|0|A|2301","1S72|1|0|A|2303","1S72|1|0|G|2304","1S72|1|0|A|2305","1S72|1|0|U|2306","1S72|1|0|A|2307","1S72|1|0|U|2308","1S72|1|0|G|2310" "3U5H|1|5|U|2633","3U5H|1|5|U|2634","3U5H|1|5|A|2635","3U5H|1|5|A|2636","3U5H|1|5|C|2638","3U5H|1|5|G|2639","3U5H|1|5|A|2640","3U5H|1|5|U|2641","3U5H|1|5|A|2642","3U5H|1|5|A|2643","3U5H|1|5|G|2645" "3V2F|1|A|C|2264","3V2F|1|A|U|2265","3V2F|1|A|A|2266","3V2F|1|A|A|2267","3V2F|1|A|A|2269","3V2F|1|A|G|2270","3V2F|1|A|G|2271","3V2F|1|A|U|2272","3V2F|1|A|A|2273","3V2F|1|A|A|2274","3V2F|1|A|G|2276" "2ZJR|1|X|C|2243","2ZJR|1|X|C|2244","2ZJR|1|X|A|2245","2ZJR|1|X|A|2246","2ZJR|1|X|A|2248","2ZJR|1|X|U|2249","2ZJR|1|X|G|2250","2ZJR|1|X|U|2251","2ZJR|1|X|A|2252","2ZJR|1|X|A|2253","2ZJR|1|X|G|2255" "4A1B|1|1|U|2622","4A1B|1|1|U|2623","4A1B|1|1|A|2624","4A1B|1|1|A|2625","4A1B|1|1|C|2627","4A1B|1|1|C|2628","4A1B|1|1|A|2629","4A1B|1|1|U|2630","4A1B|1|1|A|2631","4A1B|1|1|A|2632","4A1B|1|1|G|2634" "2QBG|1|B|C|2264","2QBG|1|B|U|2265","2QBG|1|B|A|2266","2QBG|1|B|A|2267","2QBG|1|B|G|2269","2QBG|1|B|A|2270","2QBG|1|B|G|2271","2QBG|1|B|U|2272","2QBG|1|B|A|2273","2QBG|1|B|A|2274","2QBG|1|B|G|2276" >HL_42077.2 "2QBG|1|B|C|611","2QBG|1|B|G|612","2QBG|1|B|A|614","2QBG|1|B|A|616","2QBG|1|B|G|617" "1S72|1|0|G|670","1S72|1|0|A|671","1S72|1|0|U|673","1S72|1|0|U|675","1S72|1|0|C|676" "1P6V|1|B|C|26","1P6V|1|B|U|27","1P6V|1|B|C|29","1P6V|1|B|G|30","1P6V|1|B|G|31" >HL_42436.1 "3Q3Z|1|V|U|31","3Q3Z|1|V|A|33","3Q3Z|1|V|A|34","3Q3Z|1|V|A|35","3Q3Z|1|V|U|36","3Q3Z|1|V|U|37","3Q3Z|1|V|G|38","3Q3Z|1|V|G|39","3Q3Z|1|V|G|40","3Q3Z|1|V|C|41","3Q3Z|1|V|A|42","3Q3Z|1|V|C|43","3Q3Z|1|V|U|44","3Q3Z|1|V|U|45","3Q3Z|1|V|G|46" "3Q3Z|1|A|U|31","3Q3Z|1|A|A|33","3Q3Z|1|A|A|34","3Q3Z|1|A|A|35","3Q3Z|1|A|U|36","3Q3Z|1|A|U|37","3Q3Z|1|A|G|38","3Q3Z|1|A|G|39","3Q3Z|1|A|G|40","3Q3Z|1|A|C|41","3Q3Z|1|A|A|42","3Q3Z|1|A|C|43","3Q3Z|1|A|U|44","3Q3Z|1|A|U|45","3Q3Z|1|A|G|46" >HL_42553.1 "2NR0|1|E|G|12","2NR0|1|E|G|13","2NR0|1|E|A|14","2NR0|1|E|A|15","2NR0|1|E|G|19","2NR0|1|E|U|20","2NR0|1|E|A|20|||A","2NR0|1|E|G|21","2NR0|1|E|A|22","2NR0|1|E|C|23" "2NRE|1|F|G|12","2NRE|1|F|G|13","2NRE|1|F|A|14","2NRE|1|F|A|15","2NRE|1|F|G|19","2NRE|1|F|U|20","2NRE|1|F|A|20|||A","2NRE|1|F|G|21","2NRE|1|F|A|22","2NRE|1|F|C|23" >HL_42677.1 "6MSF|1|R|G|6||||P_1","6MSF|1|R|C|8||||P_1","6MSF|1|R|C|10||||P_1" "6MSF|1|S|G|6||||P_1","6MSF|1|S|C|8||||P_1","6MSF|1|S|C|10||||P_1" "1FJG|1|A|C|201","1FJG|1|A|U|203","1FJG|1|A|G|216" "4A1B|1|1|C|788","4A1B|1|1|C|790","4A1B|1|1|G|792" "2B2D|1|R|C|309||||P_1","2B2D|1|R|A|311||||P_1","2B2D|1|R|G|313||||P_1" "1ZSE|1|R|C|309||||P_1","1ZSE|1|R|A|311||||P_1","1ZSE|1|R|G|313||||P_1" >HL_42687.2 "1GID|1|A|G|234","1GID|1|A|A|235","1GID|1|A|U|236","1GID|1|A|U|239","1GID|1|A|C|240" "1GID|1|B|G|234","1GID|1|B|A|235","1GID|1|B|U|236","1GID|1|B|U|239","1GID|1|B|C|240" "361D|1|A|G|86","361D|1|A|G|87","361D|1|A|C|88","361D|1|A|A|89|||A","361D|1|A|C|90" >HL_42969.1 "3B31|1|A|A|6184","3B31|1|A|U|6185","3B31|1|A|U|6186","3B31|1|A|A|6187","3B31|1|A|G|6188","3B31|1|A|G|6189","3B31|1|A|U|6190","3B31|1|A|A|6191","3B31|1|A|G|6192","3B31|1|A|U|6193" >HL_44390.1 "3Q50|1|A|G|5","3Q50|1|A|U|6","3Q50|1|A|C|7","3Q50|1|A|G|8","3Q50|1|A|C|9","3Q50|1|A|A|10","3Q50|1|A|G|11","3Q50|1|A|A|13","3Q50|1|A|A|14","3Q50|1|A|C|15","3Q50|1|A|C|16" >HL_44467.1 >HL_44522.1 "3A2K|1|C|C|13","3A2K|1|C|A|14","3A2K|1|C|G|15","3A2K|1|C|A|22","3A2K|1|C|G|23" >HL_45018.3 "3LA5|1|A|A|30","3LA5|1|A|U|31","3LA5|1|A|G|32","3LA5|1|A|A|33","3LA5|1|A|U|34","3LA5|1|A|A|35","3LA5|1|A|G|37","3LA5|1|A|G|38","3LA5|1|A|U|39","3LA5|1|A|U|40" "1Y26|1|X|A|30","1Y26|1|X|U|31","1Y26|1|X|G|32","1Y26|1|X|A|33","1Y26|1|X|U|34","1Y26|1|X|A|35","1Y26|1|X|G|37","1Y26|1|X|G|38","1Y26|1|X|U|39","1Y26|1|X|U|40" "3IVN|1|A|A|21","3IVN|1|A|U|22","3IVN|1|A|G|23","3IVN|1|A|A|24","3IVN|1|A|U|25","3IVN|1|A|A|26","3IVN|1|A|G|28","3IVN|1|A|G|29","3IVN|1|A|U|30","3IVN|1|A|U|31" "4ATO|1|G|U|15","4ATO|1|G|U|16","4ATO|1|G|A|17","4ATO|1|G|C|18","4ATO|1|G|C|19","4ATO|1|G|G|20","4ATO|1|G|A|22","4ATO|1|G|G|23","4ATO|1|G|U|24","4ATO|1|G|A|25" "2D6F|1|F|G|912","2D6F|1|F|U|913","2D6F|1|F|A|914","2D6F|1|F|G|915","2D6F|1|F|U|916","2D6F|1|F|G|917","2D6F|1|F|A|920","2D6F|1|F|A|921","2D6F|1|F|U|922","2D6F|1|F|C|923" >HL_45358.1 >HL_45411.1 "2DER|1|D|C|31","2DER|1|D|C|32","2DER|1|D|U|33","2DER|1|D|U|35","2DER|1|D|C|36","2DER|1|D|A|37","2DER|1|D|C|38","2DER|1|D|G|39" >HL_46175.1 "2AW7|1|A|G|786","2AW7|1|A|A|787","2AW7|1|A|U|788","2AW7|1|A|U|789","2AW7|1|A|A|790","2AW7|1|A|G|791","2AW7|1|A|A|792","2AW7|1|A|A|794","2AW7|1|A|C|795","2AW7|1|A|C|796" "1FJG|1|A|G|786","1FJG|1|A|A|787","1FJG|1|A|U|788","1FJG|1|A|U|789","1FJG|1|A|A|790","1FJG|1|A|G|791","1FJG|1|A|A|792","1FJG|1|A|A|794","1FJG|1|A|C|795","1FJG|1|A|C|796" "2XZM|1|A|G|975","2XZM|1|A|A|976","2XZM|1|A|U|977","2XZM|1|A|C|978","2XZM|1|A|A|979","2XZM|1|A|G|980","2XZM|1|A|A|981","2XZM|1|A|A|983","2XZM|1|A|C|984","2XZM|1|A|C|985" "3U5F|1|6|G|997","3U5F|1|6|A|998","3U5F|1|6|U|999","3U5F|1|6|C|1000","3U5F|1|6|A|1001","3U5F|1|6|G|1002","3U5F|1|6|A|1003","3U5F|1|6|A|1005","3U5F|1|6|C|1006","3U5F|1|6|C|1007" "2AW7|1|A|C|689","2AW7|1|A|G|690","2AW7|1|A|G|691","2AW7|1|A|U|692","2AW7|1|A|G|693","2AW7|1|A|A|694","2AW7|1|A|A|695","2AW7|1|A|A|696","2AW7|1|A|U|697","2AW7|1|A|G|698" "1FJG|1|A|C|689","1FJG|1|A|G|690","1FJG|1|A|G|691","1FJG|1|A|U|692","1FJG|1|A|G|693","1FJG|1|A|A|694","1FJG|1|A|A|695","1FJG|1|A|A|696","1FJG|1|A|U|697","1FJG|1|A|G|698" "4A1C|1|2|G|121","4A1C|1|2|U|122","4A1C|1|2|G|123","4A1C|1|2|U|124","4A1C|1|2|A|125","4A1C|1|2|A|126","4A1C|1|2|A|127","4A1C|1|2|A|128","4A1C|1|2|A|129","4A1C|1|2|C|130" "2XZM|1|A|A|878","2XZM|1|A|G|879","2XZM|1|A|G|880","2XZM|1|A|U|881","2XZM|1|A|G|882","2XZM|1|A|A|883","2XZM|1|A|A|884","2XZM|1|A|A|885","2XZM|1|A|U|886","2XZM|1|A|U|887" "3U5F|1|6|A|900","3U5F|1|6|G|901","3U5F|1|6|G|902","3U5F|1|6|U|903","3U5F|1|6|G|904","3U5F|1|6|A|905","3U5F|1|6|A|906","3U5F|1|6|A|907","3U5F|1|6|U|908","3U5F|1|6|U|909" "3R9X|1|C|A|1513","3R9X|1|C|G|1514","3R9X|1|C|G|1515","3R9X|1|C|G|1516","3R9X|1|C|G|1517","3R9X|1|C|A|1518","3R9X|1|C|A|1519","3R9X|1|C|C|1520","3R9X|1|C|C|1521","3R9X|1|C|U|1522" >HL_46489.1 "3U5H|1|5|C|2840","3U5H|1|5|G|2841","3U5H|1|5|U|2842","3U5H|1|5|U|2843","3U5H|1|5|C|2844","3U5H|1|5|A|2845","3U5H|1|5|U|2846","3U5H|1|5|A|2847","3U5H|1|5|G|2848" "3V2F|1|A|C|2471","3V2F|1|A|G|2472","3V2F|1|A|U|2473","3V2F|1|A|C|2474","3V2F|1|A|C|2475","3V2F|1|A|A|2476","3V2F|1|A|C|2477","3V2F|1|A|A|2478","3V2F|1|A|G|2479" "4A1B|1|1|A|2828","4A1B|1|1|G|2829","4A1B|1|1|U|2830","4A1B|1|1|U|2831","4A1B|1|1|C|2832","4A1B|1|1|A|2833","4A1B|1|1|U|2834","4A1B|1|1|A|2835","4A1B|1|1|U|2836" "2ZJR|1|X|A|2450","2ZJR|1|X|G|2451","2ZJR|1|X|U|2452","2ZJR|1|X|C|2453","2ZJR|1|X|C|2454","2ZJR|1|X|A|2455","2ZJR|1|X|U|2456","2ZJR|1|X|A|2457","2ZJR|1|X|U|2458" "1S72|1|0|A|2506","1S72|1|0|G|2507","1S72|1|0|C|2508","1S72|1|0|A|2509","1S72|1|0|C|2510","1S72|1|0|A|2511","1S72|1|0|U|2512","1S72|1|0|A|2513","1S72|1|0|U|2514" "2QBG|1|B|A|2471","2QBG|1|B|G|2472","2QBG|1|B|U|2473","2QBG|1|B|U|2474","2QBG|1|B|C|2475","2QBG|1|B|A|2476","2QBG|1|B|U|2477","2QBG|1|B|A|2478","2QBG|1|B|U|2479" >HL_46794.2 "2XZM|1|A|G|514","2XZM|1|A|U|515","2XZM|1|A|G|516","2XZM|1|A|U|517","2XZM|1|A|A|518","2XZM|1|A|A|519","2XZM|1|A|A|520","2XZM|1|A|U|521","2XZM|1|A|C|522","2XZM|1|A|U|523","2XZM|1|A|C|524" "1Y0Q|1|A|G|224","1Y0Q|1|A|A|225","1Y0Q|1|A|G|226","1Y0Q|1|A|U|227","1Y0Q|1|A|A|228","1Y0Q|1|A|A|229","1Y0Q|1|A|C|230","1Y0Q|1|A|G|231","1Y0Q|1|A|A|232","1Y0Q|1|A|A|233","1Y0Q|1|A|C|234" "2XZM|1|A|G|1209","2XZM|1|A|A|1210","2XZM|1|A|U|1211","2XZM|1|A|U|1212","2XZM|1|A|G|1213","2XZM|1|A|A|1214","2XZM|1|A|A|1216","2XZM|1|A|G|1217","2XZM|1|A|C|1218","2XZM|1|A|U|1219","2XZM|1|A|C|1220" >HL_47337.1 "3U5H|1|5|C|1023","3U5H|1|5|G|1024","3U5H|1|5|A|1026","3U5H|1|5|A|1027","3U5H|1|5|G|1029" >HL_47784.1 "1FJG|1|A|U|12","1FJG|1|A|U|13","1FJG|1|A|U|14","1FJG|1|A|G|15","1FJG|1|A|A|16","1FJG|1|A|U|17","1FJG|1|A|C|18","1FJG|1|A|C|19","1FJG|1|A|U|20","1FJG|1|A|G|21","1FJG|1|A|G|22" "2AW7|1|A|U|12","2AW7|1|A|U|13","2AW7|1|A|U|14","2AW7|1|A|G|15","2AW7|1|A|A|16","2AW7|1|A|U|17","2AW7|1|A|C|18","2AW7|1|A|A|19","2AW7|1|A|U|20","2AW7|1|A|G|21","2AW7|1|A|G|22" "3U5F|1|6|G|7","3U5F|1|6|U|8","3U5F|1|6|U|9","3U5F|1|6|G|10","3U5F|1|6|A|11","3U5F|1|6|U|12","3U5F|1|6|C|13","3U5F|1|6|C|14","3U5F|1|6|U|15","3U5F|1|6|G|16","3U5F|1|6|C|17" "2XZM|1|A|G|7","2XZM|1|A|U|8","2XZM|1|A|U|9","2XZM|1|A|G|10","2XZM|1|A|A|11","2XZM|1|A|U|12","2XZM|1|A|C|13","2XZM|1|A|C|14","2XZM|1|A|U|15","2XZM|1|A|G|16","2XZM|1|A|C|17" >HL_48039.1 "5MSF|1|R|G|7||||P_1","5MSF|1|R|A|8||||P_1","5MSF|1|R|C|10||||P_1","5MSF|1|R|C|12||||P_1" "1U1Y|1|R|G|7||||P_1","1U1Y|1|R|A|8||||P_1","1U1Y|1|R|C|10||||P_1","1U1Y|1|R|C|12||||P_1" "1ZDJ|1|R|G|8||||P_1","1ZDJ|1|R|A|9||||P_1","1ZDJ|1|R|C|11||||P_1","1ZDJ|1|R|C|13||||P_1" "2IZM|1|R|G|8||||P_1","2IZM|1|R|A|9||||P_1","2IZM|1|R|C|11||||P_1","2IZM|1|R|C|13||||P_1" "2IZN|1|R|G|8||||P_1","2IZN|1|R|A|9||||P_1","2IZN|1|R|U|11||||P_1","2IZN|1|R|C|13||||P_1" "2BQ5|1|R|G|8||||P_1","2BQ5|1|R|A|9||||P_1","2BQ5|1|R|U|11||||P_1","2BQ5|1|R|C|13||||P_1" "2C50|1|R|G|8||||P_1","2C50|1|R|A|9||||P_1","2C50|1|R|A|11||||P_1","2C50|1|R|C|13||||P_1" "7MSF|1|R|C|5||||P_1","7MSF|1|R|A|6||||P_1","7MSF|1|R|C|8||||P_1","7MSF|1|R|G|10||||P_1" "2XZM|1|A|U|442","2XZM|1|A|A|443","2XZM|1|A|U|445","2XZM|1|A|A|448" "3U5F|1|6|U|450","3U5F|1|6|A|451","3U5F|1|6|U|453","3U5F|1|6|A|456" "4A1B|1|1|U|2558","4A1B|1|1|U|2559","4A1B|1|1|U|2560","4A1B|1|1|A|2561" >HL_48116.1 "2ZJR|1|X|C|552","2ZJR|1|X|C|553","2ZJR|1|X|U|557","2ZJR|1|X|G|558","2ZJR|1|X|C|559","2ZJR|1|X|G|560" "1Y0Q|1|A|C|171","1Y0Q|1|A|U|172","1Y0Q|1|A|C|174","1Y0Q|1|A|U|175","1Y0Q|1|A|A|176","1Y0Q|1|A|G|177" "3DIR|1|A|G|149","3DIR|1|A|G|150","3DIR|1|A|U|151","3DIR|1|A|C|152","3DIR|1|A|U|153","3DIR|1|A|C|154" "2QBG|1|B|C|225","2QBG|1|B|A|226","2QBG|1|B|A|227","2QBG|1|B|C|228","2QBG|1|B|C|229","2QBG|1|B|G|230" >HL_48254.1 "3U5H|1|5|A|2673","3U5H|1|5|A|2674","3U5H|1|5|C|2675","3U5H|1|5|A|2676","3U5H|1|5|G|2677","3U5H|1|5|A|2678","3U5H|1|5|A|2679","3U5H|1|5|A|2680","3U5H|1|5|U|2681" "4A1B|1|1|A|2662","4A1B|1|1|A|2663","4A1B|1|1|C|2664","4A1B|1|1|G|2665","4A1B|1|1|G|2666","4A1B|1|1|A|2667","4A1B|1|1|A|2668","4A1B|1|1|A|2669","4A1B|1|1|U|2670" "3V2F|1|A|G|2304","3V2F|1|A|A|2305","3V2F|1|A|C|2306","3V2F|1|A|G|2307","3V2F|1|A|G|2308","3V2F|1|A|A|2309","3V2F|1|A|A|2310","3V2F|1|A|A|2311","3V2F|1|A|U|2312" >HL_48480.1 "2CSX|1|C|U|31","2CSX|1|C|C|32","2CSX|1|C|U|33","2CSX|1|C|C|34","2CSX|1|C|A|35","2CSX|1|C|A|38","2CSX|1|C|G|39" >HL_49036.1 "2QBG|1|B|A|1630","2QBG|1|B|G|1631","2QBG|1|B|A|1632","2QBG|1|B|G|1633","2QBG|1|B|A|1635","2QBG|1|B|U|1636" "1XJR|1|A|G|21","1XJR|1|A|G|22","1XJR|1|A|A|23","1XJR|1|A|G|24","1XJR|1|A|A|26","1XJR|1|A|C|27" "3U5H|1|5|U|1862","3U5H|1|5|G|1863","3U5H|1|5|A|1864","3U5H|1|5|A|1865","3U5H|1|5|A|1867","3U5H|1|5|G|1868" "4A1B|1|1|U|1886","4A1B|1|1|U|1887","4A1B|1|1|A|1888","4A1B|1|1|G|1889","4A1B|1|1|A|1891","4A1B|1|1|G|1892" "4AL5|1|B|C|10","4AL5|1|B|G|11","4AL5|1|B|U|12","4AL5|1|B|A|13","4AL5|1|B|A|15","4AL5|1|B|G|16" "1S72|1|0|U|493","1S72|1|0|C|494","1S72|1|0|A|495","1S72|1|0|G|496","1S72|1|0|A|498","1S72|1|0|G|499" "3D0U|1|A|G|121","3D0U|1|A|G|122","3D0U|1|A|A|123","3D0U|1|A|A|124","3D0U|1|A|A|126","3D0U|1|A|C|127" "2ZJR|1|X|C|1797","2ZJR|1|X|G|1798","2ZJR|1|X|A|1799","2ZJR|1|X|A|1800","2ZJR|1|X|A|1802","2ZJR|1|X|G|1803" "1S72|1|0|U|733","1S72|1|0|U|734","1S72|1|0|C|735","1S72|1|0|A|736","1S72|1|0|A|737","1S72|1|0|G|738" "2ZJR|1|X|C|133","2ZJR|1|X|G|134","2ZJR|1|X|U|135","2ZJR|1|X|A|136","2ZJR|1|X|A|137","2ZJR|1|X|G|138" >HL_49210.1 "1VBY|1|B|C|119","1VBY|1|B|U|120","1VBY|1|B|C|121","1VBY|1|B|C|122","1VBY|1|B|C|124","1VBY|1|B|G|125","1VBY|1|B|G|128" "3NKB|1|B|C|20","3NKB|1|B|U|21","3NKB|1|B|C|22","3NKB|1|B|C|23","3NKB|1|B|C|25","3NKB|1|B|G|26","3NKB|1|B|G|28" >HL_49267.1 "2ZJR|1|X|C|1731","2ZJR|1|X|U|1732","2ZJR|1|X|U|1733","2ZJR|1|X|C|1734","2ZJR|1|X|G|1735" "3QSY|1|D|G|31","3QSY|1|D|C|32","3QSY|1|D|U|36","3QSY|1|D|A|38","3QSY|1|D|C|39" >HL_49492.1 "4FAW|1|A|U|47","4FAW|1|A|G|48","4FAW|1|A|A|49","4FAW|1|A|G|51","4FAW|1|A|G|52","4FAW|1|A|C|53","4FAW|1|A|A|54","4FAW|1|A|G|55","4FAW|1|A|A|56","4FAW|1|A|A|57","4FAW|1|A|G|58","4FAW|1|A|U|59","4FAW|1|A|A|60","4FAW|1|A|A|61" >HL_50059.1 "3U5H|1|5|A|876","3U5H|1|5|C|877","3U5H|1|5|G|878","3U5H|1|5|G|880","3U5H|1|5|C|881","3U5H|1|5|A|882","3U5H|1|5|U|885" >HL_52393.1 "1S72|1|0|C|1705","1S72|1|0|G|1706","1S72|1|0|G|1707","1S72|1|0|C|1708","1S72|1|0|G|1709","1S72|1|0|A|1711","1S72|1|0|A|1712","1S72|1|0|G|1713" >HL_52574.1 "2ZJR|1|X|C|2530","2ZJR|1|X|U|2531","2ZJR|1|X|G|2532","2ZJR|1|X|U|2533","2ZJR|1|X|U|2534","2ZJR|1|X|C|2535","2ZJR|1|X|G|2536" "2QBG|1|B|C|2551","2QBG|1|B|U|2552","2QBG|1|B|G|2553","2QBG|1|B|U|2554","2QBG|1|B|U|2555","2QBG|1|B|C|2556","2QBG|1|B|G|2557" "3V2F|1|A|C|2551","3V2F|1|A|U|2552","3V2F|1|A|G|2553","3V2F|1|A|U|2554","3V2F|1|A|U|2555","3V2F|1|A|C|2556","3V2F|1|A|G|2557" "3U5H|1|5|U|2920","3U5H|1|5|U|2921","3U5H|1|5|G|2922","3U5H|1|5|U|2923","3U5H|1|5|U|2924","3U5H|1|5|C|2925","3U5H|1|5|A|2926" "4A1B|1|1|U|2908","4A1B|1|1|U|2909","4A1B|1|1|G|2910","4A1B|1|1|U|2911","4A1B|1|1|U|2912","4A1B|1|1|C|2913","4A1B|1|1|A|2914" "1FJG|1|A|G|80","1FJG|1|A|U|81","1FJG|1|A|U|82","1FJG|1|A|U|83","1FJG|1|A|U|84","1FJG|1|A|A|88","1FJG|1|A|C|89" "2XZM|1|A|U|1330","2XZM|1|A|A|1331","2XZM|1|A|A|1332","2XZM|1|A|A|1333","2XZM|1|A|U|1334","2XZM|1|A|A|1335","2XZM|1|A|A|1336" "2GDI|1|X|C|27","2GDI|1|X|U|28","2GDI|1|X|G|29","2GDI|1|X|C|30","2GDI|1|X|G|31","2GDI|1|X|U|32","2GDI|1|X|G|33" "2GDI|1|Y|C|27","2GDI|1|Y|U|28","2GDI|1|Y|G|29","2GDI|1|Y|C|30","2GDI|1|Y|G|31","2GDI|1|Y|U|32","2GDI|1|Y|G|33" "2AW7|1|A|G|617","2AW7|1|A|C|618","2AW7|1|A|U|619","2AW7|1|A|C|620","2AW7|1|A|A|621","2AW7|1|A|A|622","2AW7|1|A|C|623" "1FJG|1|A|G|617","1FJG|1|A|C|618","1FJG|1|A|U|619","1FJG|1|A|C|620","1FJG|1|A|A|621","1FJG|1|A|A|622","1FJG|1|A|C|623" "3LA5|1|A|G|46","3LA5|1|A|C|47","3LA5|1|A|U|49","3LA5|1|A|C|50","3LA5|1|A|C|51","3LA5|1|A|A|52","3LA5|1|A|C|53" "3AL0|1|E|A|31","3AL0|1|E|C|32","3AL0|1|E|C|34","3AL0|1|E|U|35","3AL0|1|E|G|36","3AL0|1|E|A|38","3AL0|1|E|U|39" "1Y26|1|X|G|46","1Y26|1|X|U|47","1Y26|1|X|U|49","1Y26|1|X|C|50","1Y26|1|X|U|51","1Y26|1|X|A|52","1Y26|1|X|C|53" "3FO4|1|A|G|46","3FO4|1|A|U|47","3FO4|1|A|U|49","3FO4|1|A|C|50","3FO4|1|A|U|51","3FO4|1|A|A|52","3FO4|1|A|C|53" >HL_53015.1 "3U5F|1|6|A|1238","3U5F|1|6|U|1239","3U5F|1|6|U|1240","3U5F|1|6|G|1241","3U5F|1|6|A|1242","3U5F|1|6|A|1244","3U5F|1|6|C|1246","3U5F|1|6|U|1247" >HL_53753.1 "1FJG|1|A|C|190|||B","1FJG|1|A|C|190|||C","1FJG|1|A|U|190|||D","1FJG|1|A|G|190|||G" "1H4S|1|T|U|32","1H4S|1|T|U|33","1H4S|1|T|C|34","1H4S|1|T|A|38" >HL_53789.1 "2B63|1|R|G|21","2B63|1|R|G|22","2B63|1|R|U|23","2B63|1|R|A|24","2B63|1|R|G|25","2B63|1|R|C|26" >HL_54751.1 "2XZM|1|A|G|1364","2XZM|1|A|U|1365","2XZM|1|A|G|1366","2XZM|1|A|C|1367","2XZM|1|A|A|1368","2XZM|1|A|A|1369","2XZM|1|A|U|1370","2XZM|1|A|A|1371","2XZM|1|A|A|1372","2XZM|1|A|G|1373","2XZM|1|A|C|1374","2XZM|1|A|C|1375" "1FJG|1|A|C|1027","1FJG|1|A|C|1028","1FJG|1|A|C|1029","1FJG|1|A|C|1030","1FJG|1|A|G|1030|||A","1FJG|1|A|C|1030|||B","1FJG|1|A|G|1030|||C","1FJG|1|A|A|1030|||D","1FJG|1|A|G|1031","1FJG|1|A|G|1032","1FJG|1|A|G|1033","1FJG|1|A|G|1034" "3QSY|1|D|C|51","3QSY|1|D|G|52","3QSY|1|D|G|53","3QSY|1|D|U|54","3QSY|1|D|U|55","3QSY|1|D|C|56","3QSY|1|D|A|57","3QSY|1|D|A|58","3QSY|1|D|U|60","3QSY|1|D|C|61","3QSY|1|D|C|62","3QSY|1|D|G|63" >HL_55202.1 "1A9N|1|Q|G|4","1A9N|1|Q|U|5","1A9N|1|Q|A|6","1A9N|1|Q|U|7","1A9N|1|Q|U|8","1A9N|1|Q|G|9","1A9N|1|Q|A|11","1A9N|1|Q|G|12","1A9N|1|Q|A|14","1A9N|1|Q|C|15","1A9N|1|Q|C|16","1A9N|1|Q|U|17","1A9N|1|Q|C|18" >HL_55272.1 "3U5F|1|6|U|1055","3U5F|1|6|U|1056","3U5F|1|6|U|1057","3U5F|1|6|U|1058","3U5F|1|6|U|1059","3U5F|1|6|U|1060","3U5F|1|6|A|1061","3U5F|1|6|A|1062","3U5F|1|6|U|1063","3U5F|1|6|G|1064" >HL_55543.1 "1LNG|1|B|U|162","1LNG|1|B|G|163","1LNG|1|B|A|165","1LNG|1|B|G|166","1LNG|1|B|G|167" >HL_56775.1 "1KOG|1|J|U|82","1KOG|1|J|U|83","1KOG|1|J|U|84","1KOG|1|J|C|85","1KOG|1|J|G|86","1KOG|1|J|U|87","1KOG|1|J|G|90" >HL_56809.1 "3QSY|1|D|C|13","3QSY|1|D|G|15","3QSY|1|D|C|16","3QSY|1|D|G|22" >HL_56824.1 "1S72|1|0|C|1687","1S72|1|0|G|1688","1S72|1|0|C|1690","1S72|1|0|C|1692","1S72|1|0|G|1694" "4A1B|1|1|C|1868","4A1B|1|1|G|1869","4A1B|1|1|A|1871","4A1B|1|1|C|1873","4A1B|1|1|G|1875" "3U5H|1|5|C|1844","3U5H|1|5|G|1845","3U5H|1|5|A|1847","3U5H|1|5|C|1849","3U5H|1|5|G|1851" "3V2F|1|A|C|1612","3V2F|1|A|G|1613","3V2F|1|A|C|1615","3V2F|1|A|C|1617","3V2F|1|A|G|1619" "2QBG|1|B|C|1612","2QBG|1|B|G|1613","2QBG|1|B|C|1615","2QBG|1|B|C|1617","2QBG|1|B|G|1619" "2ZJR|1|X|C|1628","2ZJR|1|X|G|1629","2ZJR|1|X|C|1631","2ZJR|1|X|C|1633","2ZJR|1|X|G|1635" "2QBG|1|B|C|1752","2QBG|1|B|G|1753","2QBG|1|B|A|1754","2QBG|1|B|A|1755","2QBG|1|B|G|1756" "3V2F|1|A|C|1752","3V2F|1|A|G|1753","3V2F|1|A|C|1754","3V2F|1|A|A|1755","3V2F|1|A|G|1756" "1S72|1|0|C|1808","1S72|1|0|G|1809","1S72|1|0|C|1810","1S72|1|0|A|1811","1S72|1|0|G|1812" "2ZJR|1|X|C|1743","2ZJR|1|X|G|1744","2ZJR|1|X|C|1745","2ZJR|1|X|A|1746","2ZJR|1|X|G|1747" >HL_57014.1 "2XZM|1|A|C|384","2XZM|1|A|C|385","2XZM|1|A|U|386","2XZM|1|A|G|387","2XZM|1|A|A|388","2XZM|1|A|G|389","2XZM|1|A|A|390","2XZM|1|A|A|391","2XZM|1|A|A|392","2XZM|1|A|C|393","2XZM|1|A|G|395" "3U5F|1|6|C|393","3U5F|1|6|C|394","3U5F|1|6|U|395","3U5F|1|6|G|396","3U5F|1|6|A|397","3U5F|1|6|G|398","3U5F|1|6|A|399","3U5F|1|6|A|400","3U5F|1|6|A|401","3U5F|1|6|C|402","3U5F|1|6|G|404" >HL_57217.1 "2QUW|1|B|A|18","2QUW|1|B|U|19","2QUW|1|B|G|20","2QUW|1|B|U|21","2QUW|1|B|G|22","2QUW|1|B|C|23","2QUW|1|B|U|24","2QUW|1|B|U|26" >HL_57843.1 "3IVK|1|C|G|59","3IVK|1|C|A|61","3IVK|1|C|C|63","3IVK|1|C|A|64","3IVK|1|C|C|65" >HL_57904.1 "1QU2|1|T|C|31","1QU2|1|T|C|32","1QU2|1|T|U|33","1QU2|1|T|U|36","1QU2|1|T|A|38","1QU2|1|T|G|39" "2XZM|1|A|G|759","2XZM|1|A|G|760","2XZM|1|A|U|761","2XZM|1|A|U|764","2XZM|1|A|G|766","2XZM|1|A|C|767" >HL_57923.1 >HL_58083.2 "2DU3|1|D|A|912","2DU3|1|D|G|913","2DU3|1|D|A|914","2DU3|1|D|G|915","2DU3|1|D|G|916","2DU3|1|D|C|919","2DU3|1|D|U|920","2DU3|1|D|U|921","2DU3|1|D|U|922" "2DU6|1|D|A|912","2DU6|1|D|G|913","2DU6|1|D|A|914","2DU6|1|D|G|915","2DU6|1|D|G|916","2DU6|1|D|C|919","2DU6|1|D|U|920","2DU6|1|D|U|921","2DU6|1|D|U|922" "1U0B|1|A|A|12","1U0B|1|A|A|13","1U0B|1|A|A|14","1U0B|1|A|G|15","1U0B|1|A|C|16","1U0B|1|A|U|20","1U0B|1|A|U|21","1U0B|1|A|A|22","1U0B|1|A|U|23" >HL_58223.1 "2ZZN|1|C|U|13","2ZZN|1|C|A|14","2ZZN|1|C|G|15","2ZZN|1|C|G|16","2ZZN|1|C|A|22" >HL_58601.1 "3D2V|1|A|G|17","3D2V|1|A|U|19","3D2V|1|A|C|20","3D2V|1|A|A|21","3D2V|1|A|C|22" >HL_58786.1 "2BH2|1|C|G|1949","2BH2|1|C|G|1950","2BH2|1|C|U|1951","2BH2|1|C|A|1952","2BH2|1|C|G|1954","2BH2|1|C|U|1955","2BH2|1|C|U|1956","2BH2|1|C|C|1957" >HL_59604.1 "2QBG|1|B|G|319","2QBG|1|B|A|320","2QBG|1|B|C|323" >HL_59610.1 "1GAX|1|D|C|930","1GAX|1|D|A|934","1GAX|1|D|C|935","1GAX|1|D|A|936","1GAX|1|D|G|938" >HL_60203.1 "2VPL|1|B|C|19","2VPL|1|B|G|20","2VPL|1|B|A|21","2VPL|1|B|C|25","2VPL|1|B|G|26" >HL_61061.1 "2ZJR|1|X|G|1767","2ZJR|1|X|U|1768","2ZJR|1|X|U|1769","2ZJR|1|X|U|1770","2ZJR|1|X|A|1774","2ZJR|1|X|A|1775","2ZJR|1|X|A|1776","2ZJR|1|X|U|1778","2ZJR|1|X|C|1779" >HL_61547.2 "1OOA|1|D|U|13","1OOA|1|D|G|14","1OOA|1|D|U|15","1OOA|1|D|A|16","1OOA|1|D|A|17","1OOA|1|D|G|18" "2QBG|1|B|C|1172","2QBG|1|B|U|1173","2QBG|1|B|U|1174","2QBG|1|B|A|1175","2QBG|1|B|U|1176","2QBG|1|B|G|1177" "4FY3|1|2|A|6","4FY3|1|2|U|7","4FY3|1|2|U|8","4FY3|1|2|U|9","4FY3|1|2|U|10","4FY3|1|2|U|11" >HL_62228.1 "3V2F|1|A|U|779","3V2F|1|A|G|780","3V2F|1|A|A|782","3V2F|1|A|A|783","3V2F|1|A|A|784","3V2F|1|A|G|785" "1S72|1|0|U|872","1S72|1|0|G|873","1S72|1|0|A|875","1S72|1|0|A|876","1S72|1|0|G|877","1S72|1|0|G|878" "2ZJR|1|X|U|792","2ZJR|1|X|G|793","2ZJR|1|X|A|795","2ZJR|1|X|A|796","2ZJR|1|X|A|797","2ZJR|1|X|G|798" "2QBG|1|B|U|779","2QBG|1|B|G|780","2QBG|1|B|A|782","2QBG|1|B|A|783","2QBG|1|B|G|784","2QBG|1|B|G|785" >HL_62880.1 "3U5F|1|6|C|716","3U5F|1|6|C|717","3U5F|1|6|U|719","3U5F|1|6|G|720","3U5F|1|6|U|721","3U5F|1|6|G|722" >HL_62881.1 "1NBS|1|B|C|96","1NBS|1|B|G|97","1NBS|1|B|A|98","1NBS|1|B|G|100","1NBS|1|B|C|102","1NBS|1|B|A|103","1NBS|1|B|U|104","1NBS|1|B|A|105","1NBS|1|B|A|106","1NBS|1|B|G|107" "1NBS|1|A|C|96","1NBS|1|A|G|97","1NBS|1|A|A|98","1NBS|1|A|G|100","1NBS|1|A|C|102","1NBS|1|A|A|103","1NBS|1|A|U|104","1NBS|1|A|A|105","1NBS|1|A|A|106","1NBS|1|A|G|107" "2A64|1|A|G|288","2A64|1|A|G|289","2A64|1|A|A|290","2A64|1|A|A|291","2A64|1|A|A|292","2A64|1|A|U|293","2A64|1|A|G|294","2A64|1|A|A|295","2A64|1|A|A|296","2A64|1|A|C|297" "3DHS|1|A|G|288","3DHS|1|A|G|289","3DHS|1|A|A|290","3DHS|1|A|A|291","3DHS|1|A|A|292","3DHS|1|A|U|293","3DHS|1|A|G|294","3DHS|1|A|A|295","3DHS|1|A|A|296","3DHS|1|A|C|297" >HL_62967.1 "3U5H|1|5|G|763","3U5H|1|5|U|764","3U5H|1|5|U|766","3U5H|1|5|U|767","3U5H|1|5|C|768" "4A1B|1|1|C|1050","4A1B|1|1|C|1051","4A1B|1|1|A|1052","4A1B|1|1|A|1053","4A1B|1|1|G|1054" >HL_62970.1 "3U5F|1|6|A|520","3U5F|1|6|A|521","3U5F|1|6|U|522","3U5F|1|6|G|523","3U5F|1|6|U|524","3U5F|1|6|A|525","3U5F|1|6|A|526","3U5F|1|6|A|527","3U5F|1|6|U|528","3U5F|1|6|A|529","3U5F|1|6|C|530","3U5F|1|6|C|531","3U5F|1|6|U|532" >HL_63304.1 "3U5F|1|6|A|829","3U5F|1|6|U|830","3U5F|1|6|U|831","3U5F|1|6|U|832","3U5F|1|6|U|833","3U5F|1|6|G|834","3U5F|1|6|U|835","3U5F|1|6|U|836","3U5F|1|6|G|837","3U5F|1|6|G|838","3U5F|1|6|U|839","3U5F|1|6|U|840","3U5F|1|6|U|841","3U5F|1|6|C|842","3U5F|1|6|U|843" >HL_63411.1 "2ZJR|1|X|C|1549","2ZJR|1|X|C|1550","2ZJR|1|X|C|1552","2ZJR|1|X|G|1553","2ZJR|1|X|G|1554" >HL_63690.1 "2XZM|1|A|U|68","2XZM|1|A|A|69","2XZM|1|A|U|70","2XZM|1|A|U|71","2XZM|1|A|G|72","2XZM|1|A|A|74","2XZM|1|A|C|75","2XZM|1|A|A|76","2XZM|1|A|G|77" >HL_63823.1 "3U5H|1|5|G|2110","3U5H|1|5|U|2112","3U5H|1|5|A|2113","3U5H|1|5|C|2114" "4A1B|1|1|G|2106","4A1B|1|1|A|2108","4A1B|1|1|G|2109","4A1B|1|1|C|2110" >HL_64371.2 "3DHS|1|A|C|313","3DHS|1|A|G|314","3DHS|1|A|G|315","3DHS|1|A|C|316","3DHS|1|A|G|317","3DHS|1|A|C|318","3DHS|1|A|A|319","3DHS|1|A|U|320","3DHS|1|A|G|321","3DHS|1|A|C|322","3DHS|1|A|A|323","3DHS|1|A|G|324" "3IVK|1|C|G|1","3IVK|1|C|G|2","3IVK|1|C|A|3","3IVK|1|C|A|4||A","3IVK|1|C|C|5||A","3IVK|1|C|A|6","3IVK|1|C|C|7","3IVK|1|C|U|8","3IVK|1|C|A|9","3IVK|1|C|U|10","3IVK|1|C|A|11","3IVK|1|C|C|12" >HL_64543.1 "1FJG|1|A|G|963","1FJG|1|A|A|964","1FJG|1|A|A|965","1FJG|1|A|G|966","1FJG|1|A|C|967","1FJG|1|A|A|968","1FJG|1|A|A|969","1FJG|1|A|C|970","1FJG|1|A|C|972" "2AW7|1|A|G|963","2AW7|1|A|A|964","2AW7|1|A|U|965","2AW7|1|A|G|966","2AW7|1|A|C|967","2AW7|1|A|A|968","2AW7|1|A|A|969","2AW7|1|A|C|970","2AW7|1|A|C|972" "3U5F|1|6|G|1188","3U5F|1|6|A|1189","3U5F|1|6|C|1190","3U5F|1|6|U|1191","3U5F|1|6|C|1192","3U5F|1|6|A|1193","3U5F|1|6|A|1194","3U5F|1|6|C|1195","3U5F|1|6|C|1197" "2XZM|1|A|G|1160","2XZM|1|A|A|1161","2XZM|1|A|C|1162","2XZM|1|A|U|1163","2XZM|1|A|C|1164","2XZM|1|A|A|1165","2XZM|1|A|A|1166","2XZM|1|A|C|1167","2XZM|1|A|C|1169" >HL_65071.1 "2Y8Y|1|B|C|11","2Y8Y|1|B|C|13","2Y8Y|1|B|G|14","2Y8Y|1|B|G|16" >HL_65802.1 "1S72|1|0|U|2389","1S72|1|0|U|2390","1S72|1|0|C|2391","1S72|1|0|C|2392","1S72|1|0|C|2393","1S72|1|0|A|2394","1S72|1|0|A|2395","1S72|1|0|C|2396","1S72|1|0|G|2397","1S72|1|0|A|2398","1S72|1|0|G|2399" >HL_65924.1 "1FJG|1|A|C|320","1FJG|1|A|A|321","1FJG|1|A|C|322","1FJG|1|A|U|323","1FJG|1|A|G|324","1FJG|1|A|A|325","1FJG|1|A|G|326","1FJG|1|A|A|327","1FJG|1|A|C|328","1FJG|1|A|A|329","1FJG|1|A|C|330","1FJG|1|A|G|331","1FJG|1|A|G|332","1FJG|1|A|G|333" "2AW7|1|A|A|320","2AW7|1|A|A|321","2AW7|1|A|C|322","2AW7|1|A|U|323","2AW7|1|A|G|324","2AW7|1|A|A|325","2AW7|1|A|G|326","2AW7|1|A|A|327","2AW7|1|A|C|328","2AW7|1|A|A|329","2AW7|1|A|C|330","2AW7|1|A|G|331","2AW7|1|A|G|332","2AW7|1|A|U|333" >HL_66174.1 "3FO4|1|A|A|59","3FO4|1|A|C|60","3FO4|1|A|C|61","3FO4|1|A|G|62","3FO4|1|A|U|63","3FO4|1|A|A|64","3FO4|1|A|A|65","3FO4|1|A|A|66","3FO4|1|A|U|67" "3LA5|1|A|G|59","3LA5|1|A|C|60","3LA5|1|A|C|61","3LA5|1|A|U|62","3LA5|1|A|U|63","3LA5|1|A|A|64","3LA5|1|A|A|65","3LA5|1|A|A|66","3LA5|1|A|C|67" "3RKF|1|A|A|59","3RKF|1|A|C|60","3RKF|1|A|U|61","3RKF|1|A|G|62","3RKF|1|A|U|63","3RKF|1|A|A|64","3RKF|1|A|A|65","3RKF|1|A|A|66","3RKF|1|A|U|67" "1Y26|1|X|G|59","1Y26|1|X|C|60","1Y26|1|X|C|61","1Y26|1|X|U|62","1Y26|1|X|U|63","1Y26|1|X|A|64","1Y26|1|X|A|65","1Y26|1|X|A|66","1Y26|1|X|C|67" "2CZJ|1|B|G|23","2CZJ|1|B|G|24","2CZJ|1|B|G|25","2CZJ|1|B|U|26","2CZJ|1|B|C|28","2CZJ|1|B|G|29","2CZJ|1|B|C|30","2CZJ|1|B|C|31","2CZJ|1|B|U|32" >HL_66467.1 "3V2F|1|A|C|2205","3V2F|1|A|G|2206","3V2F|1|A|G|2207","3V2F|1|A|A|2208","3V2F|1|A|G|2219" >HL_66877.1 "3IVN|1|A|A|49","3IVN|1|A|A|50","3IVN|1|A|C|51","3IVN|1|A|C|52","3IVN|1|A|G|53","3IVN|1|A|U|54","3IVN|1|A|A|55","3IVN|1|A|A|56","3IVN|1|A|A|57","3IVN|1|A|A|58","3IVN|1|A|U|59" >HL_66880.1 >HL_66900.1 "4A1B|1|1|G|3306","4A1B|1|1|A|3307","4A1B|1|1|A|3308","4A1B|1|1|U|3309","4A1B|1|1|U|3310","4A1B|1|1|C|3312","4A1B|1|1|C|3313" >HL_67000.1 "3T4B|1|A|U|294","3T4B|1|A|G|295","3T4B|1|A|A|296","3T4B|1|A|A|298","3T4B|1|A|G|299" >HL_67042.4 "4A1B|1|1|U|381","4A1B|1|1|G|382","4A1B|1|1|A|383","4A1B|1|1|A|384","4A1B|1|1|A|385","4A1B|1|1|A|386" "3U5H|1|5|U|382","3U5H|1|5|G|383","3U5H|1|5|A|384","3U5H|1|5|A|385","3U5H|1|5|A|386","3U5H|1|5|A|387" "1RLG|1|D|C|9","1RLG|1|D|G|10","1RLG|1|D|A|11","1RLG|1|D|A|12","1RLG|1|D|A|13","1RLG|1|D|G|14" "1HMH|1|C|C|104","1HMH|1|C|G|21|||L","1HMH|1|C|A|22|||L","1HMH|1|C|A|23|||L","1HMH|1|C|A|24|||L","1HMH|1|C|G|114" "3NVI|1|E|C|9","3NVI|1|E|G|10","3NVI|1|E|A|11","3NVI|1|E|A|12","3NVI|1|E|A|13","3NVI|1|E|G|14" "3UCZ|1|R|C|31","3UCZ|1|R|G|32","3UCZ|1|R|A|33","3UCZ|1|R|A|34","3UCZ|1|R|A|35","3UCZ|1|R|G|36" "3IWN|1|A|C|21","3IWN|1|A|G|22","3IWN|1|A|A|23","3IWN|1|A|A|24","3IWN|1|A|A|25","3IWN|1|A|G|26" "1S72|1|0|C|804","1S72|1|0|G|805","1S72|1|0|A|806","1S72|1|0|A|807","1S72|1|0|A|808","1S72|1|0|G|809" "1S72|1|0|C|2248","1S72|1|0|G|2249","1S72|1|0|G|2250","1S72|1|0|G|2251","1S72|1|0|A|2252","1S72|1|0|G|2253" "4ENB|1|A|U|28","4ENB|1|A|G|29","4ENB|1|A|A|30","4ENB|1|A|A|31","4ENB|1|A|A|32","4ENB|1|A|A|33" "2OIU|1|P|C|10","2OIU|1|P|G|11","2OIU|1|P|A|12","2OIU|1|P|A|13","2OIU|1|P|A|14","2OIU|1|P|G|15" "3V2F|1|A|C|1806","3V2F|1|A|G|1807","3V2F|1|A|U|1808","3V2F|1|A|A|1809","3V2F|1|A|A|1810","3V2F|1|A|G|1811" "1G1X|1|D|C|590","1G1X|1|D|G|591","1G1X|1|D|A|592","1G1X|1|D|A|593","1G1X|1|D|A|594","1G1X|1|D|G|649" "3AM1|1|B|C|45","3AM1|1|B|G|46","3AM1|1|B|A|47","3AM1|1|B|G|48","3AM1|1|B|A|49","3AM1|1|B|G|50" "4GMA|1|Z|C|119","4GMA|1|Z|G|120","4GMA|1|Z|A|121","4GMA|1|Z|A|122","4GMA|1|Z|A|123","4GMA|1|Z|G|124" "3U5H|1|5|C|3025","3U5H|1|5|G|3026","3U5H|1|5|A|3027","3U5H|1|5|G|3028","3U5H|1|5|A|3029","3U5H|1|5|G|3030" "2OIU|1|Q|C|10","2OIU|1|Q|G|11","2OIU|1|Q|A|12","2OIU|1|Q|A|13","2OIU|1|Q|A|14","2OIU|1|Q|G|15" "3DVZ|1|A|C|2658","3DVZ|1|A|G|2659","3DVZ|1|A|A|2660","3DVZ|1|A|G|2661","3DVZ|1|A|A|2662","3DVZ|1|A|G|2663" "3V2F|1|A|C|2658","3V2F|1|A|G|2659","3V2F|1|A|A|2660","3V2F|1|A|G|2661","3V2F|1|A|A|2662","3V2F|1|A|G|2663" "1KH6|1|A|C|28","1KH6|1|A|G|29","1KH6|1|A|A|30","1KH6|1|A|A|31","1KH6|1|A|A|32","1KH6|1|A|G|33" "2EZ6|1|C|C|11","2EZ6|1|C|G|12","2EZ6|1|C|C|13","2EZ6|1|C|A|14","2EZ6|1|C|A|15","2EZ6|1|C|G|16" "1HMH|1|A|C|104","1HMH|1|A|G|21|||L","1HMH|1|A|A|22|||L","1HMH|1|A|A|23|||L","1HMH|1|A|A|24|||L","1HMH|1|A|G|114" "2OIU|1|Q|C|59","2OIU|1|Q|G|60","2OIU|1|Q|A|61","2OIU|1|Q|A|62","2OIU|1|Q|A|63","2OIU|1|Q|G|64" "1FJG|1|A|C|379","1FJG|1|A|G|380","1FJG|1|A|C|381","1FJG|1|A|A|382","1FJG|1|A|A|383","1FJG|1|A|G|384" "1S72|1|0|C|2695","1S72|1|0|G|2696","1S72|1|0|A|2697","1S72|1|0|G|2698","1S72|1|0|A|2699","1S72|1|0|G|2700" "1FJG|1|A|C|897","1FJG|1|A|G|898","1FJG|1|A|C|899","1FJG|1|A|A|900","1FJG|1|A|A|901","1FJG|1|A|G|902" "1Q96|1|A|U|13","1Q96|1|A|G|14","1Q96|1|A|A|15","1Q96|1|A|G|16","1Q96|1|A|A|17","1Q96|1|A|A|18" "4FRG|1|B|C|46","4FRG|1|B|G|47","4FRG|1|B|A|48","4FRG|1|B|A|49","4FRG|1|B|A|50","4FRG|1|B|G|51" "1S72|1|9|C|89","1S72|1|9|G|90","1S72|1|9|C|91","1S72|1|9|G|92","1S72|1|9|A|93","1S72|1|9|G|94" "3GX5|1|A|A|49","3GX5|1|A|G|50","3GX5|1|A|A|51","3GX5|1|A|A|52","3GX5|1|A|A|53","3GX5|1|A|U|54" "1FJG|1|A|C|1165","1FJG|1|A|G|1166","1FJG|1|A|A|1167","1FJG|1|A|A|1168","1FJG|1|A|A|1169","1FJG|1|A|G|1171" "2AW7|1|A|C|186","2AW7|1|A|G|187","2AW7|1|A|C|188","2AW7|1|A|A|189","2AW7|1|A|A|190","2AW7|1|A|G|191" "1MZP|1|B|C|25","1MZP|1|B|G|26","1MZP|1|B|C|27","1MZP|1|B|A|28","1MZP|1|B|A|29","1MZP|1|B|G|30" "3U5F|1|6|C|1121","3U5F|1|6|G|1122","3U5F|1|6|C|1123","3U5F|1|6|A|1124","3U5F|1|6|A|1125","3U5F|1|6|G|1126" "4A1B|1|1|C|3014","4A1B|1|1|G|3015","4A1B|1|1|A|3016","4A1B|1|1|G|3017","4A1B|1|1|A|3018","4A1B|1|1|G|3019" "2EZ6|1|D|C|11","2EZ6|1|D|G|12","2EZ6|1|D|C|13","2EZ6|1|D|A|14","2EZ6|1|D|A|15","2EZ6|1|D|G|16" "2OIU|1|P|C|28","2OIU|1|P|G|29","2OIU|1|P|A|30","2OIU|1|P|A|31","2OIU|1|P|A|32","2OIU|1|P|G|33" "3V2F|1|A|C|2374","3V2F|1|A|G|2375","3V2F|1|A|A|2376","3V2F|1|A|A|2377","3V2F|1|A|A|2378","3V2F|1|A|G|2379" "3U5H|1|5|C|599","3U5H|1|5|G|600","3U5H|1|5|U|601","3U5H|1|5|A|602","3U5H|1|5|A|603","3U5H|1|5|G|604" "2IL9|1|A|C|6119","2IL9|1|A|G|6119|||A","2IL9|1|A|A|6119|||B","2IL9|1|A|A|6119|||C","2IL9|1|A|A|6119|||D","2IL9|1|A|G|6119|||E" "4A1B|1|1|C|2733","4A1B|1|1|G|2734","4A1B|1|1|A|2735","4A1B|1|1|A|2736","4A1B|1|1|A|2737","4A1B|1|1|G|2738" "3V2F|1|A|C|487","3V2F|1|A|G|488","3V2F|1|A|G|489","3V2F|1|A|G|491","3V2F|1|A|A|492","3V2F|1|A|G|493" "2PXV|1|B|C|153","2PXV|1|B|G|154","2PXV|1|B|A|155","2PXV|1|B|A|156","2PXV|1|B|A|157","2PXV|1|B|G|158" "2AW7|1|A|C|862","2AW7|1|A|U|863","2AW7|1|A|A|864","2AW7|1|A|A|865","2AW7|1|A|C|866","2AW7|1|A|G|867" "1UN6|1|F|G|82","1UN6|1|F|G|83","1UN6|1|F|A|84","1UN6|1|F|A|85","1UN6|1|F|A|86","1UN6|1|F|C|94" "3KTW|1|C|C|209","3KTW|1|C|G|210","3KTW|1|C|G|211","3KTW|1|C|A|212","3KTW|1|C|A|213","3KTW|1|C|G|214" "1LNG|1|B|C|208","1LNG|1|B|G|209","1LNG|1|B|G|210","1LNG|1|B|A|211","1LNG|1|B|A|212","1LNG|1|B|G|213" "2ZJR|1|X|C|2637","2ZJR|1|X|G|2638","2ZJR|1|X|A|2639","2ZJR|1|X|G|2640","2ZJR|1|X|A|2641","2ZJR|1|X|G|2642" "2QBG|1|B|C|2658","2QBG|1|B|G|2659","2QBG|1|B|A|2660","2QBG|1|B|G|2661","2QBG|1|B|A|2662","2QBG|1|B|G|2663" "4B5R|1|A|A|49","4B5R|1|A|G|50","4B5R|1|A|A|51","4B5R|1|A|A|52","4B5R|1|A|A|53","4B5R|1|A|U|54" "1KXK|1|A|U|33","1KXK|1|A|G|34","1KXK|1|A|A|35","1KXK|1|A|A|36","1KXK|1|A|A|37","1KXK|1|A|G|38" "3Q1Q|1|B|G|135","3Q1Q|1|B|G|136","3Q1Q|1|B|A|137","3Q1Q|1|B|A|138","3Q1Q|1|B|A|139","3Q1Q|1|B|C|140" "4FNJ|1|A|U|16","4FNJ|1|A|G|17","4FNJ|1|A|A|18","4FNJ|1|A|A|19","4FNJ|1|A|A|20","4FNJ|1|A|G|21" "4AOB|1|A|A|49","4AOB|1|A|A|50","4AOB|1|A|A|51","4AOB|1|A|A|52","4AOB|1|A|A|53","4AOB|1|A|U|54" "1S72|1|0|C|1862","1S72|1|0|G|1863","1S72|1|0|C|1864","1S72|1|0|A|1865","1S72|1|0|A|1866","1S72|1|0|G|1867" "4B5R|1|A|G|73","4B5R|1|A|G|74","4B5R|1|A|A|75","4B5R|1|A|A|76","4B5R|1|A|A|77","4B5R|1|A|C|78" "3U5F|1|6|A|1084","3U5F|1|6|G|1085","3U5F|1|6|A|1086","3U5F|1|6|A|1087","3U5F|1|6|A|1088","3U5F|1|6|U|1089" "4AOB|1|A|G|73","4AOB|1|A|G|74","4AOB|1|A|A|75","4AOB|1|A|A|76","4AOB|1|A|A|77","4AOB|1|A|C|78" "3SUX|1|X|C|65","3SUX|1|X|G|66","3SUX|1|X|A|67","3SUX|1|X|A|68","3SUX|1|X|A|69","3SUX|1|X|G|70" "2QBG|1|B|C|2374","2QBG|1|B|G|2375","2QBG|1|B|A|2376","2QBG|1|B|A|2377","2QBG|1|B|A|2378","2QBG|1|B|G|2379" "3V7E|1|D|G|305","3V7E|1|D|G|306","3V7E|1|D|A|307","3V7E|1|D|A|308","3V7E|1|D|A|309","3V7E|1|D|C|310" "3P22|1|E|C|17","3P22|1|E|G|18","3P22|1|E|A|19","3P22|1|E|A|20","3P22|1|E|A|21","3P22|1|E|G|22" "3D0U|1|A|C|142","3D0U|1|A|G|143","3D0U|1|A|A|144","3D0U|1|A|A|145","3D0U|1|A|A|146","3D0U|1|A|G|147" "2QBZ|1|X|C|68","2QBZ|1|X|C|69","2QBZ|1|X|A|70","2QBZ|1|X|A|71","2QBZ|1|X|A|72","2QBZ|1|X|G|73" "2AW7|1|A|U|296","2AW7|1|A|G|297","2AW7|1|A|A|298","2AW7|1|A|G|299","2AW7|1|A|A|300","2AW7|1|A|G|301" "1S72|1|0|G|1054","1S72|1|0|G|1055","1S72|1|0|U|1056","1S72|1|0|A|1057","1S72|1|0|A|1058","1S72|1|0|C|1060" "4A1B|1|1|C|757","4A1B|1|1|G|758","4A1B|1|1|C|759","4A1B|1|1|A|760","4A1B|1|1|A|761","4A1B|1|1|G|762" "2AW7|1|A|C|897","2AW7|1|A|G|898","2AW7|1|A|C|899","2AW7|1|A|A|900","2AW7|1|A|A|901","2AW7|1|A|G|902" "3V2F|1|A|C|955","3V2F|1|A|G|956","3V2F|1|A|A|957","3V2F|1|A|A|959","3V2F|1|A|A|960","3V2F|1|A|G|962" "3V2F|1|A|G|629","3V2F|1|A|G|630","3V2F|1|A|A|631","3V2F|1|A|A|632","3V2F|1|A|A|633","3V2F|1|A|C|634" "4A1C|1|3|G|86","4A1C|1|3|G|87","4A1C|1|3|G|88","4A1C|1|3|G|89","4A1C|1|3|A|90","4A1C|1|3|C|91" "2AW7|1|A|A|1012","2AW7|1|A|G|1013","2AW7|1|A|A|1014","2AW7|1|A|G|1015","2AW7|1|A|A|1016","2AW7|1|A|U|1017" "4FAW|1|A|G|274","4FAW|1|A|G|275","4FAW|1|A|A|276","4FAW|1|A|A|277","4FAW|1|A|A|278","4FAW|1|A|C|279" "3U5H|1|5|C|732","3U5H|1|5|G|733","3U5H|1|5|C|734","3U5H|1|5|A|735","3U5H|1|5|A|736","3U5H|1|5|G|737" "3P22|1|G|C|17","3P22|1|G|G|18","3P22|1|G|A|19","3P22|1|G|A|20","3P22|1|G|A|21","3P22|1|G|G|22" "2XXA|1|G|C|47","2XXA|1|G|G|48","2XXA|1|G|G|49","2XXA|1|G|A|50","2XXA|1|G|A|51","2XXA|1|G|G|52" "1S72|1|0|C|1793","1S72|1|0|G|1794","1S72|1|0|G|1795","1S72|1|0|A|1796","1S72|1|0|A|1797","1S72|1|0|G|1799" "1FJG|1|A|C|862","1FJG|1|A|U|863","1FJG|1|A|A|864","1FJG|1|A|A|865","1FJG|1|A|C|866","1FJG|1|A|G|867" "3U5H|1|5|G|844","3U5H|1|5|G|845","3U5H|1|5|A|846","3U5H|1|5|A|847","3U5H|1|5|A|848","3U5H|1|5|C|849" "2QBG|1|B|G|629","2QBG|1|B|G|630","2QBG|1|B|A|631","2QBG|1|B|A|632","2QBG|1|B|A|633","2QBG|1|B|C|634" "3CUN|1|C|C|7","3CUN|1|C|G|8","3CUN|1|C|A|9","3CUN|1|C|A|10","3CUN|1|C|A|11","3CUN|1|C|G|12" "3GX5|1|A|G|73","3GX5|1|A|G|74","3GX5|1|A|A|75","3GX5|1|A|A|76","3GX5|1|A|A|77","3GX5|1|A|C|78" "1U6B|1|B|G|23","1U6B|1|B|G|24","1U6B|1|B|A|25","1U6B|1|B|A|26","1U6B|1|B|A|27","1U6B|1|B|C|28" "1U9S|1|A|G|204","1U9S|1|A|G|205","1U9S|1|A|C|206","1U9S|1|A|A|207","1U9S|1|A|A|208","1U9S|1|A|C|209" "1S72|1|0|C|252","1S72|1|0|U|253","1S72|1|0|C|254","1S72|1|0|A|255","1S72|1|0|C|256","1S72|1|0|G|257" "1GID|1|B|G|149","1GID|1|B|G|150","1GID|1|B|A|151","1GID|1|B|A|152","1GID|1|B|A|153","1GID|1|B|C|154" "4A1B|1|1|C|732","4A1B|1|1|G|733","4A1B|1|1|A|734","4A1B|1|1|A|735","4A1B|1|1|A|736","4A1B|1|1|G|737" "2ZJR|1|X|U|1856","2ZJR|1|X|G|1857","2ZJR|1|X|C|1858","2ZJR|1|X|A|1859","2ZJR|1|X|A|1860","2ZJR|1|X|G|1861" "2Y9B|1|V|U|812","2Y9B|1|V|G|813","2Y9B|1|V|A|814","2Y9B|1|V|A|815","2Y9B|1|V|A|816","2Y9B|1|V|A|817" "1GID|1|A|G|149","1GID|1|A|G|150","1GID|1|A|A|151","1GID|1|A|A|152","1GID|1|A|A|153","1GID|1|A|C|154" "3U5H|1|7|G|88","3U5H|1|7|G|89","3U5H|1|7|U|90","3U5H|1|7|G|91","3U5H|1|7|A|92","3U5H|1|7|C|93" "3U5H|1|5|A|2164","3U5H|1|5|G|2165","3U5H|1|5|A|2166","3U5H|1|5|A|2167","3U5H|1|5|A|2168","3U5H|1|5|U|2170" "1S72|1|0|C|2411","1S72|1|0|G|2412","1S72|1|0|A|2413","1S72|1|0|A|2414","1S72|1|0|A|2415","1S72|1|0|G|2416" "3T4B|1|A|G|9","3T4B|1|A|G|10","3T4B|1|A|A|11","3T4B|1|A|A|12","3T4B|1|A|A|13","3T4B|1|A|C|14" "2ZJR|1|X|C|498","2ZJR|1|X|G|499","2ZJR|1|X|G|500","2ZJR|1|X|G|501","2ZJR|1|X|A|502","2ZJR|1|X|G|503" "2NZ4|1|R|G|108","2NZ4|1|R|G|109","2NZ4|1|R|U|110","2NZ4|1|R|G|111","2NZ4|1|R|A|112","2NZ4|1|R|C|113" "3U5H|1|5|A|2220","3U5H|1|5|G|2221","3U5H|1|5|A|2222","3U5H|1|5|A|2223","3U5H|1|5|A|2224","3U5H|1|5|U|2225" "1S72|1|0|G|690","1S72|1|0|G|691","1S72|1|0|A|692","1S72|1|0|A|693","1S72|1|0|A|694","1S72|1|0|C|695" "1FJG|1|A|G|158","1FJG|1|A|G|159","1FJG|1|A|A|160","1FJG|1|A|A|161","1FJG|1|A|A|162","1FJG|1|A|C|163" "1S72|1|0|G|1628","1S72|1|0|G|1629","1S72|1|0|A|1630","1S72|1|0|A|1631","1S72|1|0|A|1632","1S72|1|0|C|1633" "4A1B|1|1|G|869","4A1B|1|1|G|870","4A1B|1|1|A|871","4A1B|1|1|A|872","4A1B|1|1|A|873","4A1B|1|1|C|874" "2Y9B|1|V|G|850","2Y9B|1|V|G|851","2Y9B|1|V|U|852","2Y9B|1|V|A|853","2Y9B|1|V|A|854","2Y9B|1|V|C|855" "3E5C|1|A|G|18","3E5C|1|A|G|19","3E5C|1|A|A|20","3E5C|1|A|A|21","3E5C|1|A|A|22","3E5C|1|A|C|23" "3MOJ|1|A|G|2529","3MOJ|1|A|G|2530","3MOJ|1|A|A|2531","3MOJ|1|A|A|2532","3MOJ|1|A|A|2533","3MOJ|1|A|C|2534" "1X8W|1|A|G|149","1X8W|1|A|G|150","1X8W|1|A|A|151","1X8W|1|A|A|152","1X8W|1|A|A|153","1X8W|1|A|C|154" "1FJG|1|A|U|296","1FJG|1|A|G|297","1FJG|1|A|A|298","1FJG|1|A|G|299","1FJG|1|A|A|300","1FJG|1|A|G|301" "2XZM|1|A|U|1472","2XZM|1|A|G|1473","2XZM|1|A|G|1474","2XZM|1|A|G|1475","2XZM|1|A|A|1476","2XZM|1|A|A|1477" "3Q1Q|1|B|G|284","3Q1Q|1|B|G|285","3Q1Q|1|B|U|286","3Q1Q|1|B|A|287","3Q1Q|1|B|A|288","3Q1Q|1|B|C|289" "1NBS|1|B|A|204","1NBS|1|B|G|205","1NBS|1|B|A|206","1NBS|1|B|A|207","1NBS|1|B|A|208","1NBS|1|B|U|209" "2ZJR|1|X|A|2831","2ZJR|1|X|G|2832","2ZJR|1|X|C|2833","2ZJR|1|X|A|2834","2ZJR|1|X|A|2835","2ZJR|1|X|U|2836" "1S72|1|0|G|2876","1S72|1|0|G|2877","1S72|1|0|U|2878","1S72|1|0|A|2879","1S72|1|0|A|2880","1S72|1|0|C|2881" "1U9S|1|A|G|99","1U9S|1|A|G|100","1U9S|1|A|U|101","1U9S|1|A|A|102","1U9S|1|A|A|103","1U9S|1|A|C|104" "1MFQ|1|A|G|197","1MFQ|1|A|G|198","1MFQ|1|A|A|199","1MFQ|1|A|A|200","1MFQ|1|A|A|201","1MFQ|1|A|C|202" "2QBG|1|B|A|2856","2QBG|1|B|G|2857","2QBG|1|B|C|2858","2QBG|1|B|G|2859","2QBG|1|B|A|2860","2QBG|1|B|U|2861" "2ZJR|1|X|C|146","2ZJR|1|X|G|147","2ZJR|1|X|C|148","2ZJR|1|X|A|149","2ZJR|1|X|A|150","2ZJR|1|X|G|151" "2QBG|1|B|C|462","2QBG|1|B|G|463","2QBG|1|B|U|464","2QBG|1|B|G|465","2QBG|1|B|A|466","2QBG|1|B|G|467" "2OIU|1|P|C|59","2OIU|1|P|G|60","2OIU|1|P|A|61","2OIU|1|P|A|62","2OIU|1|P|A|63","2OIU|1|P|G|64" "3V2F|1|A|C|462","3V2F|1|A|G|463","3V2F|1|A|U|464","3V2F|1|A|G|465","3V2F|1|A|A|466","3V2F|1|A|G|467" "2XZM|1|A|A|1056","2XZM|1|A|G|1057","2XZM|1|A|A|1058","2XZM|1|A|A|1059","2XZM|1|A|A|1060","2XZM|1|A|U|1061" "1X8W|1|A|C|322","1X8W|1|A|G|323","1X8W|1|A|A|324","1X8W|1|A|A|325","1X8W|1|A|A|326","1X8W|1|A|G|327" "3RW6|1|H|G|27","3RW6|1|H|G|28","3RW6|1|H|A|29","3RW6|1|H|A|30","3RW6|1|H|A|31","3RW6|1|H|C|32" "3IAB|1|R|G|78","3IAB|1|R|G|79","3IAB|1|R|A|80","3IAB|1|R|A|81","3IAB|1|R|A|82","3IAB|1|R|C|83" "2ZJR|1|X|C|640","2ZJR|1|X|G|641","2ZJR|1|X|A|642","2ZJR|1|X|A|643","2ZJR|1|X|A|644","2ZJR|1|X|G|645" "2AW7|1|A|G|158","2AW7|1|A|G|159","2AW7|1|A|A|160","2AW7|1|A|A|161","2AW7|1|A|A|162","2AW7|1|A|C|163" "4A1B|1|1|A|582","4A1B|1|1|G|583","4A1B|1|1|C|584","4A1B|1|1|A|585","4A1B|1|1|A|586","4A1B|1|1|U|587" "3U5H|1|5|U|2744","3U5H|1|5|G|2745","3U5H|1|5|A|2746","3U5H|1|5|A|2747","3U5H|1|5|A|2748","3U5H|1|5|G|2749" "3U5H|1|5|C|2963","3U5H|1|5|G|2964","3U5H|1|5|U|2965","3U5H|1|5|G|2966","3U5H|1|5|A|2967","3U5H|1|5|G|2968" "1Y0Q|1|A|A|101","1Y0Q|1|A|G|102","1Y0Q|1|A|U|103","1Y0Q|1|A|A|104","1Y0Q|1|A|A|105","1Y0Q|1|A|U|106" "3U5H|1|5|A|357","3U5H|1|5|G|358","3U5H|1|5|U|359","3U5H|1|5|G|360","3U5H|1|5|A|361","3U5H|1|5|U|362" "2XZM|1|A|C|915","2XZM|1|A|G|916","2XZM|1|A|A|917","2XZM|1|A|A|918","2XZM|1|A|A|919","2XZM|1|A|G|920" "3RG5|1|A|U|47|||C","3RG5|1|A|U|47|||D","3RG5|1|A|A|47|||E","3RG5|1|A|G|47|||F","3RG5|1|A|C|47|||G","3RG5|1|A|G|47|||H" "2ZJR|1|X|C|2573","2ZJR|1|X|G|2574","2ZJR|1|X|U|2575","2ZJR|1|X|G|2576","2ZJR|1|X|A|2577","2ZJR|1|X|G|2578" "2QBG|1|B|U|955","2QBG|1|B|G|956","2QBG|1|B|C|957","2QBG|1|B|A|959","2QBG|1|B|A|960","2QBG|1|B|G|962" "3U5H|1|5|G|1126","3U5H|1|5|G|1127","3U5H|1|5|U|1128","3U5H|1|5|A|1129","3U5H|1|5|A|1130","3U5H|1|5|C|1132" "2NZ4|1|S|G|108","2NZ4|1|S|G|109","2NZ4|1|S|U|110","2NZ4|1|S|G|111","2NZ4|1|S|A|112","2NZ4|1|S|C|113" "2ZZM|1|B|C|47","2ZZM|1|B|G|47|||A","2ZZM|1|B|U|47|||B","2ZZM|1|B|A|47|||C","2ZZM|1|B|G|47|||D","2ZZM|1|B|G|47|||E" "1FJG|1|A|G|1265","1FJG|1|A|G|1266","1FJG|1|A|C|1267","1FJG|1|A|A|1268","1FJG|1|A|A|1269","1FJG|1|A|C|1270" "2QBG|1|B|C|2594","2QBG|1|B|G|2595","2QBG|1|B|U|2596","2QBG|1|B|G|2597","2QBG|1|B|A|2598","2QBG|1|B|G|2599" "3Q1Q|1|B|G|92","3Q1Q|1|B|G|93","3Q1Q|1|B|U|94","3Q1Q|1|B|G|95","3Q1Q|1|B|A|96","3Q1Q|1|B|C|97" "3NKB|1|B|C|49","3NKB|1|B|G|50","3NKB|1|B|A|51","3NKB|1|B|A|52","3NKB|1|B|A|53","3NKB|1|B|G|54" "3V2F|1|A|C|2594","3V2F|1|A|G|2595","3V2F|1|A|U|2596","3V2F|1|A|G|2597","3V2F|1|A|A|2598","3V2F|1|A|G|2599" "3E5C|1|A|C|41","3E5C|1|A|G|42","3E5C|1|A|A|43","3E5C|1|A|A|44","3E5C|1|A|A|45","3E5C|1|A|G|46" "4FAW|1|A|G|89","4FAW|1|A|G|90","4FAW|1|A|C|91","4FAW|1|A|G|92","4FAW|1|A|A|93","4FAW|1|A|C|94" "1S72|1|0|C|576","1S72|1|0|G|577","1S72|1|0|C|578","1S72|1|0|G|579","1S72|1|0|A|580","1S72|1|0|G|581" "1FJG|1|A|U|1012","1FJG|1|A|G|1013","1FJG|1|A|A|1014","1FJG|1|A|A|1015","1FJG|1|A|A|1016","1FJG|1|A|G|1017" "2ZJR|1|X|A|966","2ZJR|1|X|G|967","2ZJR|1|X|C|968","2ZJR|1|X|A|970","2ZJR|1|X|A|971","2ZJR|1|X|U|973" "1Y0Q|1|A|G|204","1Y0Q|1|A|G|205","1Y0Q|1|A|A|206","1Y0Q|1|A|A|207","1Y0Q|1|A|A|208","1Y0Q|1|A|C|209" "2QBG|1|B|U|2356","2QBG|1|B|G|2357","2QBG|1|B|A|2358","2QBG|1|B|C|2359","2QBG|1|B|G|2360","2QBG|1|B|G|2361" "488D|1|D|C|154","488D|1|D|G|31|||L","488D|1|D|U|32|||L","488D|1|D|A|33|||L","488D|1|D|A|34|||L","488D|1|D|G|164" "488D|1|B|C|154","488D|1|B|G|31|||L","488D|1|B|U|32|||L","488D|1|B|A|33|||L","488D|1|B|A|34|||L","488D|1|B|G|164" "3V2F|1|B|G|86","3V2F|1|B|G|87","3V2F|1|B|C|88","3V2F|1|B|G|89","3V2F|1|B|A|90","3V2F|1|B|C|91" "4A1B|1|1|U|356","4A1B|1|1|G|357","4A1B|1|1|C|358","4A1B|1|1|G|359","4A1B|1|1|A|360","4A1B|1|1|A|361" "1Y0Q|1|A|A|21","1Y0Q|1|A|G|22","1Y0Q|1|A|U|23","1Y0Q|1|A|A|24","1Y0Q|1|A|A|25","1Y0Q|1|A|U|26" "3U5H|1|5|U|707","3U5H|1|5|G|708","3U5H|1|5|A|709","3U5H|1|5|A|710","3U5H|1|5|A|711","3U5H|1|5|G|712" "1S72|1|0|C|2629","1S72|1|0|G|2630","1S72|1|0|U|2631","1S72|1|0|G|2632","1S72|1|0|A|2633","1S72|1|0|G|2634" "4A1B|1|1|G|1658","4A1B|1|1|G|1659","4A1B|1|1|U|1660","4A1B|1|1|A|1661","4A1B|1|1|A|1662","4A1B|1|1|C|1663" "3U5F|1|6|A|1296","3U5F|1|6|G|1297","3U5F|1|6|U|1298","3U5F|1|6|G|1299","3U5F|1|6|A|1300","3U5F|1|6|U|1301" "1Y0Q|1|A|C|130","1Y0Q|1|A|G|131","1Y0Q|1|A|A|132","1Y0Q|1|A|U|133","1Y0Q|1|A|A|134","1Y0Q|1|A|G|135" "3W3S|1|B|C|47|||F","3W3S|1|B|G|47|||G","3W3S|1|B|C|47|||H","3W3S|1|B|A|47|||I","3W3S|1|B|A|47|||J","3W3S|1|B|G|47|||K" "2ZJR|1|X|C|473","2ZJR|1|X|G|474","2ZJR|1|X|U|475","2ZJR|1|X|G|476","2ZJR|1|X|A|477","2ZJR|1|X|G|478" "4A1B|1|1|G|1153","4A1B|1|1|G|1154","4A1B|1|1|U|1155","4A1B|1|1|A|1156","4A1B|1|1|A|1157","4A1B|1|1|C|1159" "1S72|1|0|U|468","1S72|1|0|G|469","1S72|1|0|U|470","1S72|1|0|G|471","1S72|1|0|A|472","1S72|1|0|A|473" "4A1B|1|1|C|2951","4A1B|1|1|G|2952","4A1B|1|1|U|2953","4A1B|1|1|G|2954","4A1B|1|1|A|2955","4A1B|1|1|G|2956" "3SD3|1|A|C|58","3SD3|1|A|G|59","3SD3|1|A|A|60","3SD3|1|A|G|61","3SD3|1|A|A|62","3SD3|1|A|G|63" "3V2F|1|A|C|2856","3V2F|1|A|G|2857","3V2F|1|A|C|2858","3V2F|1|A|G|2859","3V2F|1|A|A|2860","3V2F|1|A|G|2861" "3V2F|1|A|C|1222","3V2F|1|A|G|1223","3V2F|1|A|C|1224","3V2F|1|A|G|1225","3V2F|1|A|A|1226","3V2F|1|A|G|1227" "2HO7|1|B|G|113","2HO7|1|B|G|114","2HO7|1|B|C|115","2HO7|1|B|G|116","2HO7|1|B|A|117","2HO7|1|B|C|118" "3V2F|1|A|U|1864","3V2F|1|A|G|1865","3V2F|1|A|C|1866","3V2F|1|A|A|1876","3V2F|1|A|A|1877","3V2F|1|A|G|1878" "2BTE|1|E|C|46","2BTE|1|E|G|47","2BTE|1|E|C|47|||A","2BTE|1|E|A|47|||B","2BTE|1|E|A|47|||C","2BTE|1|E|G|47|||D" "2AW7|1|A|C|726","2AW7|1|A|G|727","2AW7|1|A|A|728","2AW7|1|A|A|729","2AW7|1|A|G|730","2AW7|1|A|G|731" "3U5H|1|5|G|1634","3U5H|1|5|G|1635","3U5H|1|5|U|1636","3U5H|1|5|A|1637","3U5H|1|5|A|1638","3U5H|1|5|C|1639" "3U5F|1|6|C|937","3U5F|1|6|G|938","3U5F|1|6|A|939","3U5F|1|6|A|940","3U5F|1|6|A|941","3U5F|1|6|G|942" "2ZJR|1|X|G|2353","2ZJR|1|X|G|2354","2ZJR|1|X|A|2355","2ZJR|1|X|A|2356","2ZJR|1|X|A|2357","2ZJR|1|X|C|2358" "2AW7|1|A|U|1076","2AW7|1|A|G|1077","2AW7|1|A|U|1078","2AW7|1|A|G|1079","2AW7|1|A|A|1080","2AW7|1|A|A|1081" "2AW7|1|A|C|1265","2AW7|1|A|G|1266","2AW7|1|A|C|1267","2AW7|1|A|G|1268","2AW7|1|A|A|1269","2AW7|1|A|G|1270" "1G1X|1|E|C|726","1G1X|1|E|G|727","1G1X|1|E|A|728","1G1X|1|E|A|729","1G1X|1|E|G|730","1G1X|1|E|G|731" "3ADC|1|C|C|47|||D","3ADC|1|C|G|47|||E","3ADC|1|C|A|47|||F","3ADC|1|C|G|47|||G","3ADC|1|C|U|47|||H","3ADC|1|C|G|47|||I" "3Q1Q|1|B|G|187","3Q1Q|1|B|G|188","3Q1Q|1|B|C|189","3Q1Q|1|B|A|190","3Q1Q|1|B|A|191","3Q1Q|1|B|C|192" "1X8W|1|A|G|234","1X8W|1|A|G|235","1X8W|1|A|A|236","1X8W|1|A|G|237","1X8W|1|A|A|238","1X8W|1|A|C|240" "1FJG|1|A|C|1076","1FJG|1|A|G|1077","1FJG|1|A|U|1078","1FJG|1|A|G|1079","1FJG|1|A|A|1080","1FJG|1|A|G|1081" "2XZM|1|A|A|1268","2XZM|1|A|G|1269","2XZM|1|A|U|1270","2XZM|1|A|G|1271","2XZM|1|A|A|1272","2XZM|1|A|U|1273" "1FJG|1|A|C|726","1FJG|1|A|G|727","1FJG|1|A|A|728","1FJG|1|A|A|729","1FJG|1|A|G|730","1FJG|1|A|G|731" "1Q96|1|C|U|13","1Q96|1|C|G|14","1Q96|1|C|A|15","1Q96|1|C|G|16","1Q96|1|C|A|17","1Q96|1|C|A|18" "3NPB|1|A|C|60","3NPB|1|A|G|61","3NPB|1|A|A|62","3NPB|1|A|A|63","3NPB|1|A|A|64","3NPB|1|A|G|65" "2BTE|1|B|C|46","2BTE|1|B|G|47","2BTE|1|B|C|47|||A","2BTE|1|B|A|47|||B","2BTE|1|B|A|47|||C","2BTE|1|B|G|47|||D" "3NPN|1|A|C|20","3NPN|1|A|G|21","3NPN|1|A|A|22","3NPN|1|A|A|23","3NPN|1|A|A|24","3NPN|1|A|G|25" "3U5H|1|5|C|1403","3U5H|1|5|G|1404","3U5H|1|5|U|1405","3U5H|1|5|A|1406","3U5H|1|5|A|1407","3U5H|1|5|G|1408" "2OIU|1|Q|C|28","2OIU|1|Q|G|29","2OIU|1|Q|A|30","2OIU|1|Q|A|31","2OIU|1|Q|A|32","2OIU|1|Q|G|33" "4A1B|1|1|U|2160","4A1B|1|1|C|2161","4A1B|1|1|A|2162","4A1B|1|1|A|2163","4A1B|1|1|C|2164","4A1B|1|1|A|2165" "2ZJR|1|Y|C|88","2ZJR|1|Y|G|89","2ZJR|1|Y|C|90","2ZJR|1|Y|A|91","2ZJR|1|Y|G|92","2ZJR|1|Y|G|93" "1S72|1|0|U|1326","1S72|1|0|G|1327","1S72|1|0|A|1328","1S72|1|0|A|1329","1S72|1|0|A|1330","1S72|1|0|A|1331" "1U6B|1|B|C|153","1U6B|1|B|A|154","1U6B|1|B|A|155","1U6B|1|B|A|156","1U6B|1|B|C|157","1U6B|1|B|G|158" "3U5H|1|5|G|1544","3U5H|1|5|A|1545","3U5H|1|5|A|1546","3U5H|1|5|G|1547","3U5H|1|5|C|1548","3U5H|1|5|U|1549" "4A1B|1|1|C|1429","4A1B|1|1|G|1430","4A1B|1|1|U|1431","4A1B|1|1|G|1432","4A1B|1|1|A|1433","4A1B|1|1|G|1434" "1JID|1|B|G|146","1JID|1|B|G|147","1JID|1|B|G|148","1JID|1|B|A|149","1JID|1|B|G|150","1JID|1|B|C|151" "3AM1|1|B|C|32","3AM1|1|B|U|33","3AM1|1|B|U|34","3AM1|1|B|C|35","3AM1|1|B|G|36","3AM1|1|B|G|37" "2AW7|1|A|G|1515","2AW7|1|A|G|1516","2AW7|1|A|G|1517","2AW7|1|A|A|1518","2AW7|1|A|A|1519","2AW7|1|A|C|1520" "2QBG|1|B|C|1363","2QBG|1|B|G|1364","2QBG|1|B|A|1365","2QBG|1|B|A|1366","2QBG|1|B|A|1367","2QBG|1|B|G|1368" "3V2F|1|A|C|1363","3V2F|1|A|G|1364","3V2F|1|A|A|1365","3V2F|1|A|A|1366","3V2F|1|A|A|1367","3V2F|1|A|G|1368" "2XZM|1|A|U|359","2XZM|1|A|U|360","2XZM|1|A|A|361","2XZM|1|A|G|362","2XZM|1|A|G|363","2XZM|1|A|G|364" "1S72|1|0|G|1468","1S72|1|0|C|1469","1S72|1|0|A|1470","1S72|1|0|A|1471","1S72|1|0|C|1472","1S72|1|0|C|1474" "1MFQ|1|A|G|146","1MFQ|1|A|G|147","1MFQ|1|A|G|148","1MFQ|1|A|A|149","1MFQ|1|A|G|150","1MFQ|1|A|C|151" "1FJG|1|A|C|522","1FJG|1|A|A|523","1FJG|1|A|G|524","1FJG|1|A|C|525","1FJG|1|A|C|526","1FJG|1|A|G|527" "2XZM|1|A|A|226","2XZM|1|A|G|227","2XZM|1|A|C|228","2XZM|1|A|A|229","2XZM|1|A|A|230","2XZM|1|A|U|231" "3U5H|1|5|A|1760","3U5H|1|5|C|1761","3U5H|1|5|C|1762","3U5H|1|5|U|1763","3U5H|1|5|U|1764","3U5H|1|5|U|1765" "2XZM|1|A|C|563","2XZM|1|A|A|564","2XZM|1|A|G|565","2XZM|1|A|C|566","2XZM|1|A|C|567","2XZM|1|A|G|568" "2XZM|1|A|C|1094","2XZM|1|A|G|1095","2XZM|1|A|C|1096","2XZM|1|A|A|1097","2XZM|1|A|A|1098","2XZM|1|A|G|1099" "2AW7|1|A|C|522","2AW7|1|A|A|523","2AW7|1|A|G|524","2AW7|1|A|C|525","2AW7|1|A|C|526","2AW7|1|A|G|527" "3U5F|1|6|G|154","3U5F|1|6|U|155","3U5F|1|6|A|156","3U5F|1|6|A|157","3U5F|1|6|U|158","3U5F|1|6|C|160" "3U5F|1|6|G|1778","3U5F|1|6|U|1779","3U5F|1|6|G|1780","3U5F|1|6|A|1781","3U5F|1|6|A|1782","3U5F|1|6|C|1783" "1M5O|1|E|G|74","1M5O|1|E|G|75","1M5O|1|E|A|76","1M5O|1|E|A|77","1M5O|1|E|A|78","1M5O|1|E|C|79" "3U5F|1|6|C|1501","3U5F|1|6|G|1502","3U5F|1|6|A|1503","3U5F|1|6|G|1504","3U5F|1|6|A|1505","3U5F|1|6|G|1506" "2XZM|1|A|G|1731","2XZM|1|A|U|1732","2XZM|1|A|G|1733","2XZM|1|A|A|1734","2XZM|1|A|A|1735","2XZM|1|A|C|1736" "2QBG|1|B|U|1222","2QBG|1|B|G|1223","2QBG|1|B|U|1224","2QBG|1|B|G|1225","2QBG|1|B|A|1226","2QBG|1|B|G|1227" "2AW7|1|A|C|379","2AW7|1|A|G|380","2AW7|1|A|C|381","2AW7|1|A|A|382","2AW7|1|A|A|383","2AW7|1|A|G|384" "4A1B|1|1|U|2531","4A1B|1|1|G|2532","4A1B|1|1|C|2533","4A1B|1|1|A|2534","4A1B|1|1|A|2535","4A1B|1|1|A|2536" "2XZM|1|A|A|1660","2XZM|1|A|G|1661","2XZM|1|A|C|1662","2XZM|1|A|A|1663","2XZM|1|A|A|1664","2XZM|1|A|U|1665" "1FJG|1|A|C|1515","1FJG|1|A|G|1516","1FJG|1|A|G|1517","1FJG|1|A|A|1518","1FJG|1|A|A|1519","1FJG|1|A|G|1520" "2ZJR|1|X|C|1376","2ZJR|1|X|G|1377","2ZJR|1|X|A|1378","2ZJR|1|X|A|1379","2ZJR|1|X|C|1380","2ZJR|1|X|G|1381" "3Q1Q|1|C|G|37","3Q1Q|1|C|C|38","3Q1Q|1|C|A|39","3Q1Q|1|C|C|40","3Q1Q|1|C|C|41","3Q1Q|1|C|C|42" "3U5F|1|6|C|569","3U5F|1|6|A|570","3U5F|1|6|G|571","3U5F|1|6|C|572","3U5F|1|6|C|573","3U5F|1|6|G|574" "2ZJR|1|X|C|1235","2ZJR|1|X|G|1236","2ZJR|1|X|G|1237","2ZJR|1|X|A|1238","2ZJR|1|X|A|1239","2ZJR|1|X|G|1240" "2QBG|1|B|C|1806","2QBG|1|B|G|1807","2QBG|1|B|A|1808","2QBG|1|B|A|1809","2QBG|1|B|A|1810","2QBG|1|B|G|1811" "2QBG|1|B|C|1868","2QBG|1|B|G|1869","2QBG|1|B|C|1870","2QBG|1|B|A|1871","2QBG|1|B|A|1872","2QBG|1|B|G|1873" "3Q1Q|1|B|A|29","3Q1Q|1|B|C|30","3Q1Q|1|B|A|31","3Q1Q|1|B|C|32","3Q1Q|1|B|C|33","3Q1Q|1|B|U|34" >HL_67367.1 "2XZM|1|A|U|1522","2XZM|1|A|U|1523","2XZM|1|A|U|1524","2XZM|1|A|G|1525","2XZM|1|A|G|1526","2XZM|1|A|A|1527","2XZM|1|A|A|1528","2XZM|1|A|U|1532","2XZM|1|A|G|1533" "3RG5|1|A|G|31","3RG5|1|A|C|32","3RG5|1|A|U|33","3RG5|1|A|U|34","3RG5|1|A|C|35","3RG5|1|A|A|36","3RG5|1|A|A|37","3RG5|1|A|A|38","3RG5|1|A|C|39" >HL_67692.1 "4A1B|1|1|U|67","4A1B|1|1|A|68","4A1B|1|1|A|69","4A1B|1|1|C|70","4A1B|1|1|A|72" "2XZM|1|A|U|1032","2XZM|1|A|A|1033","2XZM|1|A|A|1034","2XZM|1|A|A|1035","2XZM|1|A|G|1037" >HL_67761.1 "3U5F|1|6|C|190","3U5F|1|6|C|191","3U5F|1|6|U|192","3U5F|1|6|U|193","3U5F|1|6|U|194","3U5F|1|6|G|195" >HL_68081.2 "3F4G|1|Y|G|88","3F4G|1|Y|U|89","3F4G|1|Y|A|90","3F4G|1|Y|G|91","3F4G|1|Y|A|92","3F4G|1|Y|G|93","3F4G|1|Y|U|94","3F4G|1|Y|C|95" "3F4G|1|X|G|36","3F4G|1|X|U|37","3F4G|1|X|A|38","3F4G|1|X|U|39","3F4G|1|X|A|40","3F4G|1|X|G|41","3F4G|1|X|U|42","3F4G|1|X|C|43" "4FRN|1|A|G|56","4FRN|1|A|U|57","4FRN|1|A|A|59","4FRN|1|A|U|60","4FRN|1|A|A|61","4FRN|1|A|C|62","4FRN|1|A|U|63","4FRN|1|A|C|64" "4FRG|1|B|G|58","4FRG|1|B|U|59","4FRG|1|B|A|61","4FRG|1|B|U|62","4FRG|1|B|A|63","4FRG|1|B|C|64","4FRG|1|B|U|65","4FRG|1|B|C|66" "3V2F|1|A|G|327","3V2F|1|A|U|328","3V2F|1|A|A|330","3V2F|1|A|A|331","3V2F|1|A|A|332","3V2F|1|A|G|333","3V2F|1|A|C|334","3V2F|1|A|C|335" "4A1B|1|1|G|216","4A1B|1|1|U|217","4A1B|1|1|A|219","4A1B|1|1|C|220","4A1B|1|1|A|221","4A1B|1|1|A|222","4A1B|1|1|C|223","4A1B|1|1|C|224" "2QBG|1|B|G|327","2QBG|1|B|U|328","2QBG|1|B|A|330","2QBG|1|B|C|331","2QBG|1|B|A|332","2QBG|1|B|G|333","2QBG|1|B|C|334","2QBG|1|B|C|335" "1S72|1|0|G|334","1S72|1|0|U|335","1S72|1|0|A|337","1S72|1|0|C|338","1S72|1|0|A|339","1S72|1|0|A|340","1S72|1|0|C|341","1S72|1|0|C|342" "3U5H|1|5|G|216","3U5H|1|5|U|217","3U5H|1|5|A|219","3U5H|1|5|G|220","3U5H|1|5|A|221","3U5H|1|5|A|222","3U5H|1|5|U|223","3U5H|1|5|C|224" "2ZJR|1|X|G|338","2ZJR|1|X|U|339","2ZJR|1|X|A|341","2ZJR|1|X|G|342","2ZJR|1|X|A|343","2ZJR|1|X|G|344","2ZJR|1|X|U|345","2ZJR|1|X|C|346" >HL_68435.1 "2ZZM|1|B|G|31","2ZZM|1|B|C|32","2ZZM|1|B|U|34","2ZZM|1|B|A|35","2ZZM|1|B|G|36","2ZZM|1|B|A|38","2ZZM|1|B|C|39" >HL_68579.1 "3OIN|1|C|C|4","3OIN|1|C|U|5","3OIN|1|C|A|8","3OIN|1|C|A|9","3OIN|1|C|C|10","3OIN|1|C|G|11" >HL_68697.1 "4FAW|1|A|C|368","4FAW|1|A|G|369","4FAW|1|A|A|370","4FAW|1|A|A|371","4FAW|1|A|C|372","4FAW|1|A|G|373" "3V2F|1|A|C|2701","3V2F|1|A|U|2702","3V2F|1|A|C|2703","3V2F|1|A|C|2704","3V2F|1|A|A|2705","3V2F|1|A|G|2706" >HL_68733.1 "3U5H|1|5|C|3240","3U5H|1|5|G|3241","3U5H|1|5|G|3242","3U5H|1|5|A|3243","3U5H|1|5|A|3244","3U5H|1|5|A|3245","3U5H|1|5|G|3246","3U5H|1|5|G|3247" >HL_69403.1 "4A1B|1|1|G|710","4A1B|1|1|U|711","4A1B|1|1|G|712","4A1B|1|1|G|713","4A1B|1|1|A|714","4A1B|1|1|A|715","4A1B|1|1|A|717","4A1B|1|1|A|718","4A1B|1|1|C|719" >HL_70420.1 "1S72|1|0|C|2780","1S72|1|0|U|2781","1S72|1|0|G|2782","1S72|1|0|A|2783","1S72|1|0|A|2784","1S72|1|0|C|2785","1S72|1|0|C|2787","1S72|1|0|A|2788","1S72|1|0|U|2789","1S72|1|0|C|2790","1S72|1|0|U|2791","1S72|1|0|A|2792","1S72|1|0|A|2793","1S72|1|0|G|2794" "3U5H|1|5|C|3110","3U5H|1|5|U|3111","3U5H|1|5|G|3112","3U5H|1|5|A|3113","3U5H|1|5|A|3114","3U5H|1|5|C|3115","3U5H|1|5|C|3117","3U5H|1|5|C|3118","3U5H|1|5|U|3119","3U5H|1|5|C|3120","3U5H|1|5|U|3121","3U5H|1|5|A|3122","3U5H|1|5|A|3123","3U5H|1|5|G|3124" "4A1B|1|1|C|3099","4A1B|1|1|U|3100","4A1B|1|1|G|3101","4A1B|1|1|A|3102","4A1B|1|1|A|3103","4A1B|1|1|G|3104","4A1B|1|1|C|3106","4A1B|1|1|C|3107","4A1B|1|1|U|3108","4A1B|1|1|C|3109","4A1B|1|1|U|3110","4A1B|1|1|A|3111","4A1B|1|1|A|3112","4A1B|1|1|G|3113" "3V2F|1|A|C|2745","3V2F|1|A|U|2746","3V2F|1|A|G|2747","3V2F|1|A|A|2748","3V2F|1|A|A|2749","3V2F|1|A|A|2750","3V2F|1|A|C|2752","3V2F|1|A|A|2753","3V2F|1|A|U|2754","3V2F|1|A|C|2755","3V2F|1|A|U|2756","3V2F|1|A|A|2757","3V2F|1|A|A|2758","3V2F|1|A|G|2759" "2QBG|1|B|C|2745","2QBG|1|B|U|2746","2QBG|1|B|G|2747","2QBG|1|B|A|2748","2QBG|1|B|A|2749","2QBG|1|B|A|2750","2QBG|1|B|C|2752","2QBG|1|B|A|2753","2QBG|1|B|U|2754","2QBG|1|B|C|2755","2QBG|1|B|U|2756","2QBG|1|B|A|2757","2QBG|1|B|A|2758","2QBG|1|B|G|2759" "2ZJR|1|X|C|2725","2ZJR|1|X|U|2726","2ZJR|1|X|G|2727","2ZJR|1|X|A|2728","2ZJR|1|X|A|2729","2ZJR|1|X|A|2730","2ZJR|1|X|C|2732","2ZJR|1|X|A|2733","2ZJR|1|X|U|2734","2ZJR|1|X|C|2735","2ZJR|1|X|U|2736","2ZJR|1|X|A|2737","2ZJR|1|X|A|2738","2ZJR|1|X|G|2739" >HL_70912.1 "3SLQ|1|A|G|53","3SLQ|1|A|A|54","3SLQ|1|A|C|55","3SLQ|1|A|C|57","3SLQ|1|A|C|58","3SLQ|1|A|G|59","3SLQ|1|A|C|60" >HL_71607.1 "4A1B|1|1|G|130","4A1B|1|1|G|131","4A1B|1|1|C|133","4A1B|1|1|A|134","4A1B|1|1|A|135","4A1B|1|1|C|136" >HL_72273.1 "2ZM5|1|C|C|13","2ZM5|1|C|A|14","2ZM5|1|C|G|15","2ZM5|1|C|U|16","2ZM5|1|C|A|21","2ZM5|1|C|G|22" "3TUP|1|T|C|13","3TUP|1|T|A|14","3TUP|1|T|G|15","3TUP|1|T|U|16","3TUP|1|T|A|21","3TUP|1|T|G|22" "3EPH|1|E|C|13","3EPH|1|E|A|14","3EPH|1|E|G|15","3EPH|1|E|U|16","3EPH|1|E|A|21","3EPH|1|E|G|22" "1GAX|1|D|C|913","1GAX|1|D|A|914","1GAX|1|D|G|915","1GAX|1|D|C|916","1GAX|1|D|A|920","1GAX|1|D|G|921" "3AMU|1|B|U|13","3AMU|1|B|A|14","3AMU|1|B|G|15","3AMU|1|B|C|16","3AMU|1|B|A|21|||C","3AMU|1|B|G|22" >HL_72498.5 "3RG5|1|A|G|53","3RG5|1|A|U|54","3RG5|1|A|U|55","3RG5|1|A|C|56","3RG5|1|A|A|57","3RG5|1|A|A|58","3RG5|1|A|C|61" "3ADD|1|C|G|53","3ADD|1|C|U|54","3ADD|1|C|U|55","3ADD|1|C|C|56","3ADD|1|C|A|57","3ADD|1|C|A|58","3ADD|1|C|C|61" "2ZUF|1|B|G|953","2ZUF|1|B|U|954","2ZUF|1|B|U|955","2ZUF|1|B|C|956","2ZUF|1|B|A|957","2ZUF|1|B|A|958","2ZUF|1|B|C|961" "3ADC|1|C|G|53","3ADC|1|C|U|54","3ADC|1|C|U|55","3ADC|1|C|C|56","3ADC|1|C|A|57","3ADC|1|C|A|58","3ADC|1|C|C|61" "1J1U|1|B|G|554","1J1U|1|B|U|555","1J1U|1|B|U|556","1J1U|1|B|C|557","1J1U|1|B|A|558","1J1U|1|B|A|559","1J1U|1|B|C|562" "4AQ7|1|B|G|53","4AQ7|1|B|U|54","4AQ7|1|B|U|55","4AQ7|1|B|C|56","4AQ7|1|B|A|57","4AQ7|1|B|A|58","4AQ7|1|B|C|61" "3W3S|1|B|G|53","3W3S|1|B|U|54","3W3S|1|B|U|55","3W3S|1|B|C|56","3W3S|1|B|G|57","3W3S|1|B|A|58","3W3S|1|B|C|61" "3L0U|1|A|G|53","3L0U|1|A|U|54","3L0U|1|A|U|55","3L0U|1|A|C|56","3L0U|1|A|G|57","3L0U|1|A|A|58","3L0U|1|A|C|61" "2DU3|1|D|G|952","2DU3|1|D|U|953","2DU3|1|D|U|954","2DU3|1|D|C|955","2DU3|1|D|G|956","2DU3|1|D|A|957","2DU3|1|D|C|960" "2BTE|1|B|G|53","2BTE|1|B|U|54","2BTE|1|B|U|55","2BTE|1|B|C|56","2BTE|1|B|A|57","2BTE|1|B|A|58","2BTE|1|B|C|61" "2ZZM|1|B|G|53","2ZZM|1|B|U|54","2ZZM|1|B|U|55","2ZZM|1|B|C|56","2ZZM|1|B|A|57","2ZZM|1|B|A|58","2ZZM|1|B|C|61" "1QU2|1|T|G|53","1QU2|1|T|U|54","1QU2|1|T|U|55","1QU2|1|T|C|56","1QU2|1|T|A|57","1QU2|1|T|A|58","1QU2|1|T|C|61" "2CSX|1|C|G|53","2CSX|1|C|U|54","2CSX|1|C|U|55","2CSX|1|C|C|56","2CSX|1|C|G|57","2CSX|1|C|A|58","2CSX|1|C|C|61" "2CV1|1|D|G|553","2CV1|1|D|U|554","2CV1|1|D|U|555","2CV1|1|D|C|556","2CV1|1|D|G|557","2CV1|1|D|A|558","2CV1|1|D|C|561" "4FAW|1|A|G|30","4FAW|1|A|U|31","4FAW|1|A|G|32","4FAW|1|A|A|33","4FAW|1|A|G|34","4FAW|1|A|A|35","4FAW|1|A|C|38" "1QRS|1|B|G|53","1QRS|1|B|U|54","1QRS|1|B|U|55","1QRS|1|B|C|56","1QRS|1|B|G|57","1QRS|1|B|A|58","1QRS|1|B|C|61" "4GCW|1|B|G|53","4GCW|1|B|U|54","4GCW|1|B|U|55","4GCW|1|B|C|56","4GCW|1|B|G|57","4GCW|1|B|A|58","4GCW|1|B|C|61" "3AM1|1|B|G|59","3AM1|1|B|U|60","3AM1|1|B|U|61","3AM1|1|B|C|62","3AM1|1|B|G|63","3AM1|1|B|A|64","3AM1|1|B|C|67" "2AZX|1|C|G|553","2AZX|1|C|U|554","2AZX|1|C|U|555","2AZX|1|C|C|556","2AZX|1|C|G|557","2AZX|1|C|A|558","2AZX|1|C|C|561" "1WZ2|1|D|G|965","1WZ2|1|D|U|966","1WZ2|1|D|U|967","1WZ2|1|D|C|968","1WZ2|1|D|G|969","1WZ2|1|D|A|970","1WZ2|1|D|C|973" "4A1B|1|1|A|1488","4A1B|1|1|U|1489","4A1B|1|1|G|1490","4A1B|1|1|A|1491","4A1B|1|1|G|1492","4A1B|1|1|A|1493","4A1B|1|1|U|1496" "2BTE|1|E|G|53","2BTE|1|E|U|54","2BTE|1|E|U|55","2BTE|1|E|C|56","2BTE|1|E|A|57","2BTE|1|E|A|58","2BTE|1|E|C|61" "3AL0|1|E|G|53","3AL0|1|E|U|54","3AL0|1|E|U|55","3AL0|1|E|C|56","3AL0|1|E|G|57","3AL0|1|E|A|58","3AL0|1|E|C|61" "2ZM5|1|C|G|53","2ZM5|1|C|U|54","2ZM5|1|C|U|55","2ZM5|1|C|C|56","2ZM5|1|C|G|57","2ZM5|1|C|A|58","2ZM5|1|C|C|61" "4FRN|1|A|G|28","4FRN|1|A|U|29","4FRN|1|A|G|30","4FRN|1|A|U|31","4FRN|1|A|A|32","4FRN|1|A|A|33","4FRN|1|A|C|36" "3EPH|1|E|G|53","3EPH|1|E|U|54","3EPH|1|E|U|55","3EPH|1|E|C|56","3EPH|1|E|G|57","3EPH|1|E|A|58","3EPH|1|E|C|61" "1U0B|1|A|G|53","1U0B|1|A|U|54","1U0B|1|A|U|55","1U0B|1|A|C|56","1U0B|1|A|G|57","1U0B|1|A|A|58","1U0B|1|A|C|61" "4GXY|1|A|G|49","4GXY|1|A|U|50","4GXY|1|A|G|51","4GXY|1|A|C|52","4GXY|1|A|A|53","4GXY|1|A|A|54","4GXY|1|A|C|57" "2ZZN|1|C|G|53","2ZZN|1|C|U|54","2ZZN|1|C|U|55","2ZZN|1|C|C|56","2ZZN|1|C|A|57","2ZZN|1|C|A|58","2ZZN|1|C|C|61" "3TUP|1|T|G|53","3TUP|1|T|U|54","3TUP|1|T|U|55","3TUP|1|T|C|56","3TUP|1|T|G|57","3TUP|1|T|A|58","3TUP|1|T|C|61" "2D6F|1|F|G|953","2D6F|1|F|U|954","2D6F|1|F|U|955","2D6F|1|F|C|956","2D6F|1|F|G|957","2D6F|1|F|A|958","2D6F|1|F|C|961" "3A2K|1|C|G|54","3A2K|1|C|U|55","3A2K|1|C|U|56","3A2K|1|C|C|57","3A2K|1|C|G|58","3A2K|1|C|A|59","3A2K|1|C|C|62" "2DER|1|D|G|53","2DER|1|D|U|54","2DER|1|D|U|55","2DER|1|D|C|56","2DER|1|D|G|57","2DER|1|D|A|58","2DER|1|D|C|61" "2DU6|1|D|G|952","2DU6|1|D|U|953","2DU6|1|D|U|954","2DU6|1|D|C|955","2DU6|1|D|G|956","2DU6|1|D|A|957","2DU6|1|D|C|960" "3AMU|1|B|G|53","3AMU|1|B|U|54","3AMU|1|B|U|55","3AMU|1|B|C|56","3AMU|1|B|G|57","3AMU|1|B|A|58","3AMU|1|B|C|61" "2NRE|1|F|G|53","2NRE|1|F|U|54","2NRE|1|F|U|55","2NRE|1|F|C|56","2NRE|1|F|A|57","2NRE|1|F|A|58","2NRE|1|F|C|61" "3F4G|1|Y|G|68","3F4G|1|Y|U|69","3F4G|1|Y|G|70","3F4G|1|Y|A|71","3F4G|1|Y|A|72","3F4G|1|Y|A|73","3F4G|1|Y|C|76" "1S72|1|0|G|1387","1S72|1|0|U|1388","1S72|1|0|G|1389","1S72|1|0|A|1390","1S72|1|0|G|1391","1S72|1|0|A|1392","1S72|1|0|C|1395" "1GAX|1|D|G|952","1GAX|1|D|U|953","1GAX|1|D|U|954","1GAX|1|D|C|955","1GAX|1|D|A|956","1GAX|1|D|A|957","1GAX|1|D|C|960" "3F4G|1|X|G|17","3F4G|1|X|U|18","3F4G|1|X|G|19","3F4G|1|X|A|20","3F4G|1|X|A|21","3F4G|1|X|A|22","3F4G|1|X|C|25" "3ICQ|1|E|G|53","3ICQ|1|E|U|54","3ICQ|1|E|U|55","3ICQ|1|E|C|56","3ICQ|1|E|G|57","3ICQ|1|E|A|58","3ICQ|1|E|C|61" "3V2F|1|A|G|1281","3V2F|1|A|U|1282","3V2F|1|A|G|1283","3V2F|1|A|A|1284","3V2F|1|A|G|1285","3V2F|1|A|A|1286","3V2F|1|A|C|1289" "2NR0|1|E|G|53","2NR0|1|E|U|54","2NR0|1|E|U|55","2NR0|1|E|C|56","2NR0|1|E|A|57","2NR0|1|E|A|58","2NR0|1|E|C|61" "2QBG|1|B|U|566","2QBG|1|B|U|567","2QBG|1|B|U|568","2QBG|1|B|U|569","2QBG|1|B|G|570","2QBG|1|B|U|571","2QBG|1|B|A|575" "4FRG|1|B|G|28","4FRG|1|B|U|29","4FRG|1|B|G|30","4FRG|1|B|A|31","4FRG|1|B|A|32","4FRG|1|B|A|33","4FRG|1|B|C|36" "2QBG|1|B|G|1281","2QBG|1|B|U|1282","2QBG|1|B|G|1283","2QBG|1|B|A|1284","2QBG|1|B|A|1285","2QBG|1|B|A|1286","2QBG|1|B|C|1289" "3U5H|1|5|A|1462","3U5H|1|5|U|1463","3U5H|1|5|G|1464","3U5H|1|5|A|1465","3U5H|1|5|G|1466","3U5H|1|5|A|1467","3U5H|1|5|U|1470" "2ZJR|1|X|G|1294","2ZJR|1|X|U|1295","2ZJR|1|X|G|1296","2ZJR|1|X|A|1297","2ZJR|1|X|G|1298","2ZJR|1|X|A|1299","2ZJR|1|X|C|1302" "3U5H|1|5|U|640","3U5H|1|5|C|641","3U5H|1|5|U|642","3U5H|1|5|U|643","3U5H|1|5|G|644","3U5H|1|5|A|645","3U5H|1|5|A|649" "4A1B|1|1|U|664","4A1B|1|1|C|665","4A1B|1|1|U|666","4A1B|1|1|U|667","4A1B|1|1|G|668","4A1B|1|1|A|669","4A1B|1|1|A|673" "4GMA|1|Z|G|58","4GMA|1|Z|U|59","4GMA|1|Z|G|60","4GMA|1|Z|A|61","4GMA|1|Z|G|62","4GMA|1|Z|A|63","4GMA|1|Z|C|66" "1J2B|1|C|G|954","1J2B|1|C|U|955","1J2B|1|C|U|956","1J2B|1|C|C|957","1J2B|1|C|A|958","1J2B|1|C|A|959","1J2B|1|C|C|962" "2ZJR|1|X|U|575","2ZJR|1|X|A|576","2ZJR|1|X|U|577","2ZJR|1|X|U|578","2ZJR|1|X|G|579","2ZJR|1|X|A|580","2ZJR|1|X|A|584" "3V2F|1|A|U|566","3V2F|1|A|A|567","3V2F|1|A|U|568","3V2F|1|A|U|569","3V2F|1|A|G|570","3V2F|1|A|A|571","3V2F|1|A|A|575" "3VJR|1|B|G|13","3VJR|1|B|U|14","3VJR|1|B|U|15","3VJR|1|B|C|16","3VJR|1|B|G|17","3VJR|1|B|A|18","3VJR|1|B|C|21" "3VJR|1|D|G|13","3VJR|1|D|U|14","3VJR|1|D|U|15","3VJR|1|D|C|16","3VJR|1|D|G|17","3VJR|1|D|A|18","3VJR|1|D|C|21" "1J2B|1|D|G|954","1J2B|1|D|U|955","1J2B|1|D|U|956","1J2B|1|D|C|957","1J2B|1|D|A|958","1J2B|1|D|A|959","1J2B|1|D|C|962" "2AKE|1|B|G|53","2AKE|1|B|U|54","2AKE|1|B|U|55","2AKE|1|B|C|56","2AKE|1|B|G|57","2AKE|1|B|A|58","2AKE|1|B|C|61" "3OVA|1|C|G|12","3OVA|1|C|U|13","3OVA|1|C|U|14","3OVA|1|C|C|15","3OVA|1|C|A|16","3OVA|1|C|A|17","3OVA|1|C|C|20" "2ZNI|1|C|G|53","2ZNI|1|C|U|54","2ZNI|1|C|G|55","2ZNI|1|C|A|56","2ZNI|1|C|A|57","2ZNI|1|C|A|58","2ZNI|1|C|C|61" "2DR8|1|B|G|12","2DR8|1|B|U|13","2DR8|1|B|U|14","2DR8|1|B|C|15","2DR8|1|B|G|16","2DR8|1|B|A|17","2DR8|1|B|C|20" "3OUY|1|C|G|12","3OUY|1|C|U|13","3OUY|1|C|U|14","3OUY|1|C|C|15","3OUY|1|C|A|16","3OUY|1|C|A|17","3OUY|1|C|C|20" "3Q1Q|1|C|G|63","3Q1Q|1|C|U|64","3Q1Q|1|C|U|65","3Q1Q|1|C|C|66","3Q1Q|1|C|G|67","3Q1Q|1|C|A|68","3Q1Q|1|C|C|71" >HL_72543.1 "2QBG|1|B|C|1064","2QBG|1|B|U|1065","2QBG|1|B|U|1066","2QBG|1|B|A|1067","2QBG|1|B|G|1068","2QBG|1|B|A|1069","2QBG|1|B|G|1071","2QBG|1|B|C|1072","2QBG|1|B|A|1073","2QBG|1|B|G|1074" "1QA6|1|C|C|114","1QA6|1|C|U|115","1QA6|1|C|U|116","1QA6|1|C|A|117","1QA6|1|C|G|118","1QA6|1|C|A|119","1QA6|1|C|G|121","1QA6|1|C|C|122","1QA6|1|C|A|123","1QA6|1|C|G|124" "2ZJR|1|X|C|1075","2ZJR|1|X|U|1076","2ZJR|1|X|U|1077","2ZJR|1|X|A|1078","2ZJR|1|X|G|1079","2ZJR|1|X|A|1080","2ZJR|1|X|G|1082","2ZJR|1|X|C|1083","2ZJR|1|X|A|1084","2ZJR|1|X|G|1085" "3U5H|1|5|C|1239","3U5H|1|5|A|1240","3U5H|1|5|U|1241","3U5H|1|5|G|1242","3U5H|1|5|G|1243","3U5H|1|5|A|1244","3U5H|1|5|G|1246","3U5H|1|5|U|1247","3U5H|1|5|C|1248","3U5H|1|5|G|1249" "1S72|1|0|C|1168","1S72|1|0|U|1169","1S72|1|0|U|1170","1S72|1|0|A|1171","1S72|1|0|G|1172","1S72|1|0|A|1173","1S72|1|0|G|1175","1S72|1|0|C|1176","1S72|1|0|A|1177","1S72|1|0|G|1178" >HL_73023.1 "2PJP|1|B|G|22","2PJP|1|B|G|23","2PJP|1|B|U|24","2PJP|1|B|C|25" "2PLY|1|E|G|22","2PLY|1|E|G|23","2PLY|1|E|U|24","2PLY|1|E|C|25" "2ZUF|1|B|C|931","2ZUF|1|B|C|932","2ZUF|1|B|A|937","2ZUF|1|B|G|939" >HL_74465.2 "2AZX|1|C|A|531","2AZX|1|C|C|532","2AZX|1|C|U|533","2AZX|1|C|C|534","2AZX|1|C|C|535","2AZX|1|C|A|536","2AZX|1|C|G|537","2AZX|1|C|A|538","2AZX|1|C|U|539" "1U0B|1|A|A|31","1U0B|1|A|U|32","1U0B|1|A|U|33","1U0B|1|A|G|34","1U0B|1|A|C|35","1U0B|1|A|A|36","1U0B|1|A|A|37","1U0B|1|A|A|38","1U0B|1|A|U|39" "3L0U|1|A|A|31","3L0U|1|A|U|32","3L0U|1|A|U|33","3L0U|1|A|G|34","3L0U|1|A|A|35","3L0U|1|A|A|36","3L0U|1|A|A|37","3L0U|1|A|A|38","3L0U|1|A|U|39" "3W3S|1|B|A|31","3W3S|1|B|C|32","3W3S|1|B|U|33","3W3S|1|B|U|34","3W3S|1|B|C|35","3W3S|1|B|A|36","3W3S|1|B|A|37","3W3S|1|B|A|38","3W3S|1|B|U|39" "2CV1|1|D|C|531","2CV1|1|D|C|532","2CV1|1|D|U|533","2CV1|1|D|C|534","2CV1|1|D|U|535","2CV1|1|D|C|536","2CV1|1|D|A|537","2CV1|1|D|A|538","2CV1|1|D|G|539" "3TUP|1|T|A|31","3TUP|1|T|C|32","3TUP|1|T|U|33","3TUP|1|T|G|34","3TUP|1|T|A|35","3TUP|1|T|A|36","3TUP|1|T|A|37","3TUP|1|T|A|38","3TUP|1|T|U|39" "2ZNI|1|C|A|31","2ZNI|1|C|C|32","2ZNI|1|C|U|33","2ZNI|1|C|C|34","2ZNI|1|C|U|35","2ZNI|1|C|A|36","2ZNI|1|C|A|37","2ZNI|1|C|A|38","2ZNI|1|C|U|39" "2AKE|1|B|A|31","2AKE|1|B|C|32","2AKE|1|B|U|33","2AKE|1|B|C|34","2AKE|1|B|C|35","2AKE|1|B|A|36","2AKE|1|B|G|37","2AKE|1|B|A|38","2AKE|1|B|U|39" "1J2B|1|C|C|932","1J2B|1|C|C|933","1J2B|1|C|U|934","1J2B|1|C|U|935","1J2B|1|C|A|936","1J2B|1|C|C|937","1J2B|1|C|G|938","1J2B|1|C|A|939","1J2B|1|C|G|940" "1J1U|1|B|A|532","1J1U|1|B|C|533","1J1U|1|B|U|534","1J1U|1|B|G|535","1J1U|1|B|U|536","1J1U|1|B|A|537","1J1U|1|B|G|538","1J1U|1|B|A|539","1J1U|1|B|U|540" "1J2B|1|D|C|932","1J2B|1|D|C|933","1J2B|1|D|U|934","1J2B|1|D|U|935","1J2B|1|D|A|936","1J2B|1|D|C|937","1J2B|1|D|G|938","1J2B|1|D|A|939","1J2B|1|D|G|940" "1EIY|1|C|A|31","1EIY|1|C|C|32","1EIY|1|C|U|33","1EIY|1|C|G|34","1EIY|1|C|A|35","1EIY|1|C|A|36","1EIY|1|C|A|37","1EIY|1|C|A|38","1EIY|1|C|U|39" >HL_74686.1 "3T4B|1|A|C|304","3T4B|1|A|U|305","3T4B|1|A|U|306","3T4B|1|A|G|307","3T4B|1|A|C|308","3T4B|1|A|G|309","3T4B|1|A|A|310","3T4B|1|A|G|311","3T4B|1|A|U|312","3T4B|1|A|G|313" "2JLT|1|A|G|5","2JLT|1|A|G|6","2JLT|1|A|U|7","2JLT|1|A|C|8","2JLT|1|A|C|9","2JLT|1|A|C|10","2JLT|1|A|A|11","2JLT|1|A|G|12","2JLT|1|A|A|13","2JLT|1|A|C|14" "2A64|1|A|C|313","2A64|1|A|G|314","2A64|1|A|G|317","2A64|1|A|C|318","2A64|1|A|A|319","2A64|1|A|U|320","2A64|1|A|G|321","2A64|1|A|C|322","2A64|1|A|A|323","2A64|1|A|G|324" >HL_75575.1 "1Y0Q|1|A|C|146","1Y0Q|1|A|A|147","1Y0Q|1|A|A|148","1Y0Q|1|A|G|149","1Y0Q|1|A|U|150","1Y0Q|1|A|G|151" "2QBG|1|B|A|2142","2QBG|1|B|C|2143","2QBG|1|B|G|2144","2QBG|1|B|C|2146","2QBG|1|B|G|2148","2QBG|1|B|U|2149" >HL_75579.1 "4A1B|1|1|C|208","4A1B|1|1|A|209","4A1B|1|1|G|212" "3U5H|1|5|C|208","3U5H|1|5|A|209","3U5H|1|5|G|212" "3V2F|1|A|C|319","3V2F|1|A|A|320","3V2F|1|A|G|323" "2Y9H|1|B|C|11","2Y9H|1|B|G|12","2Y9H|1|B|G|16" "1S72|1|0|G|326","1S72|1|0|A|327","1S72|1|0|C|330" "2ZJR|1|X|C|330","2ZJR|1|X|U|331","2ZJR|1|X|G|334" >HL_75759.1 "1S72|1|0|C|1651","1S72|1|0|A|1653","1S72|1|0|U|1654","1S72|1|0|G|1655" "4A1B|1|1|C|1818","4A1B|1|1|U|1820","4A1B|1|1|U|1821","4A1B|1|1|G|1822" "3V2F|1|A|C|1565","3V2F|1|A|A|1566","3V2F|1|A|A|1567","3V2F|1|A|G|1568" "3U5H|1|5|C|1792","3U5H|1|5|G|1794","3U5H|1|5|U|1795","3U5H|1|5|G|1796" "2QBG|1|B|C|1565","2QBG|1|B|A|1566","2QBG|1|B|G|1567","2QBG|1|B|G|1568" "2ZJR|1|X|C|1581","2ZJR|1|X|A|1582","2ZJR|1|X|A|1583","2ZJR|1|X|G|1584" "3U5F|1|6|C|276","3U5F|1|6|U|277","3U5F|1|6|U|278","3U5F|1|6|G|279" "2F8K|1|B|U|6","2F8K|1|B|U|7","2F8K|1|B|G|8","2F8K|1|B|A|9" "3V2F|1|A|C|271|||J","3V2F|1|A|U|271|||K","3V2F|1|A|U|271|||L","3V2F|1|A|G|271|||M" "3U5H|1|5|C|3350","3U5H|1|5|U|3351","3U5H|1|5|U|3352","3U5H|1|5|G|3353" >HL_75850.1 "3U5H|1|5|C|2568","3U5H|1|5|A|2569","3U5H|1|5|U|2570","3U5H|1|5|C|2572","3U5H|1|5|G|2573" >HL_76036.2 "2QBG|1|B|G|123","2QBG|1|B|G|124","2QBG|1|B|A|126","2QBG|1|B|A|127","2QBG|1|B|C|128" "3V2F|1|A|G|123","3V2F|1|A|G|124","3V2F|1|A|A|126","3V2F|1|A|A|127","3V2F|1|A|C|128" "2QBG|1|A|G|86","2QBG|1|A|U|87","2QBG|1|A|C|88","2QBG|1|A|U|89","2QBG|1|A|C|90" >HL_76105.1 "2ZJR|1|X|G|1402","2ZJR|1|X|U|1403","2ZJR|1|X|C|1404","2ZJR|1|X|A|1405","2ZJR|1|X|A|1406","2ZJR|1|X|G|1407","2ZJR|1|X|A|1408","2ZJR|1|X|U|1410","2ZJR|1|X|C|1411" "3U5H|1|5|G|1599","3U5H|1|5|U|1600","3U5H|1|5|U|1601","3U5H|1|5|A|1602","3U5H|1|5|A|1603","3U5H|1|5|G|1604","3U5H|1|5|A|1605","3U5H|1|5|U|1607","3U5H|1|5|C|1608" >HL_76766.1 "2ZM5|1|C|A|31","2ZM5|1|C|U|32","2ZM5|1|C|U|33","2ZM5|1|C|G|34","2ZM5|1|C|A|36","2ZM5|1|C|A|38","2ZM5|1|C|U|39" "3EPH|1|E|A|31","3EPH|1|E|U|32","3EPH|1|E|U|33","3EPH|1|E|G|34","3EPH|1|E|A|36","3EPH|1|E|A|38","3EPH|1|E|U|39" >HL_77235.1 "1S72|1|0|A|1274","1S72|1|0|C|1275","1S72|1|0|U|1276","1S72|1|0|A|1278","1S72|1|0|A|1280","1S72|1|0|C|1281","1S72|1|0|U|1282" "4A1B|1|1|A|2214","4A1B|1|1|C|2215","4A1B|1|1|G|2216","4A1B|1|1|A|2218","4A1B|1|1|A|2219","4A1B|1|1|U|2220","4A1B|1|1|U|2221" "1QRS|1|B|A|31","1QRS|1|B|U|32","1QRS|1|B|U|33","1QRS|1|B|U|35","1QRS|1|B|A|37","1QRS|1|B|U|38","1QRS|1|B|U|39" "1S72|1|0|C|1916","1S72|1|0|G|1917","1S72|1|0|U|1918","1S72|1|0|C|1920","1S72|1|0|A|1921","1S72|1|0|A|1922","1S72|1|0|G|1923" >HL_77555.1 "2XZM|1|A|A|652","2XZM|1|A|U|653","2XZM|1|A|U|654","2XZM|1|A|G|656","2XZM|1|A|U|657" "2CSX|1|C|C|13","2CSX|1|C|A|14","2CSX|1|C|G|15","2CSX|1|C|A|21|||A","2CSX|1|C|G|22" >HL_78228.1 "3U5H|1|5|G|2534","3U5H|1|5|A|2535","3U5H|1|5|A|2536","3U5H|1|5|U|2537","3U5H|1|5|U|2538","3U5H|1|5|C|2539","3U5H|1|5|A|2540","3U5H|1|5|U|2541","3U5H|1|5|U|2542","3U5H|1|5|U|2543","3U5H|1|5|U|2544","3U5H|1|5|C|2545" >HL_78361.1 "3RKF|1|A|G|31","3RKF|1|A|G|32","3RKF|1|A|A|33","3RKF|1|A|U|34","3RKF|1|A|A|35","3RKF|1|A|A|37","3RKF|1|A|G|38","3RKF|1|A|C|39" "3FO4|1|A|G|31","3FO4|1|A|G|32","3FO4|1|A|A|33","3FO4|1|A|U|34","3FO4|1|A|A|35","3FO4|1|A|G|37","3FO4|1|A|G|38","3FO4|1|A|C|39" "3SLQ|1|A|C|39","3SLQ|1|A|A|40","3SLQ|1|A|U|41","3SLQ|1|A|A|42","3SLQ|1|A|A|43","3SLQ|1|A|G|45","3SLQ|1|A|G|46","3SLQ|1|A|G|47" >HL_78420.1 "3U5H|1|5|U|1347","3U5H|1|5|U|1348","3U5H|1|5|G|1349","3U5H|1|5|A|1350","3U5H|1|5|U|1351","3U5H|1|5|A|1352","3U5H|1|5|U|1353","3U5H|1|5|A|1355","3U5H|1|5|G|1357" >HL_78507.1 "2QBG|1|B|G|2209","2QBG|1|B|U|2210","2QBG|1|B|A|2212","2QBG|1|B|C|2214","2QBG|1|B|C|2215" >HL_78731.1 "3DIR|1|A|U|93","3DIR|1|A|U|94","3DIR|1|A|C|95","3DIR|1|A|C|96","3DIR|1|A|U|97","3DIR|1|A|C|98","3DIR|1|A|C|99","3DIR|1|A|C|100","3DIR|1|A|G|101" "3D0U|1|A|U|90","3D0U|1|A|U|91","3D0U|1|A|C|92","3D0U|1|A|C|93","3D0U|1|A|U|94","3D0U|1|A|C|95","3D0U|1|A|C|96","3D0U|1|A|C|97","3D0U|1|A|G|98" "2HO7|1|B|C|78","2HO7|1|B|U|79","2HO7|1|B|U|80","2HO7|1|B|C|81","2HO7|1|B|C|82","2HO7|1|B|U|83","2HO7|1|B|G|84","2HO7|1|B|C|85","2HO7|1|B|G|86" "2QBG|1|B|U|87","2QBG|1|B|G|88","2QBG|1|B|A|89","2QBG|1|B|U|90","2QBG|1|B|A|91","2QBG|1|B|U|92","2QBG|1|B|G|93","2QBG|1|B|A|94","2QBG|1|B|A|95" "3V2F|1|A|C|87","3V2F|1|A|G|88","3V2F|1|A|G|89","3V2F|1|A|U|90","3V2F|1|A|A|92","3V2F|1|A|G|93","3V2F|1|A|C|94","3V2F|1|A|G|94|||A","3V2F|1|A|G|95" >HL_79902.1 "3HJW|1|D|U|23","3HJW|1|D|G|24","3HJW|1|D|A|25","3HJW|1|D|A|28","3HJW|1|D|A|29","3HJW|1|D|G|31","3HJW|1|D|A|32","3HJW|1|D|G|33" >HL_80459.1 "2QBG|1|B|G|1492","2QBG|1|B|A|1494","2QBG|1|B|A|1495","2QBG|1|B|A|1496","2QBG|1|B|C|1498" "3V2F|1|A|G|1492","3V2F|1|A|A|1494","3V2F|1|A|A|1495","3V2F|1|A|A|1496","3V2F|1|A|C|1498" "3V2F|1|A|G|1324","3V2F|1|A|U|1326","3V2F|1|A|C|1327","3V2F|1|A|G|1328","3V2F|1|A|C|1330" "3U5H|1|5|A|1506","3U5H|1|5|C|1508","3U5H|1|5|A|1509","3U5H|1|5|G|1510","3U5H|1|5|U|1512" "4A1B|1|1|A|1532","4A1B|1|1|C|1534","4A1B|1|1|A|1535","4A1B|1|1|A|1536","4A1B|1|1|U|1538" "2ZJR|1|X|G|1337","2ZJR|1|X|U|1339","2ZJR|1|X|C|1340","2ZJR|1|X|G|1341","2ZJR|1|X|C|1343" "1S72|1|0|G|1430","1S72|1|0|U|1432","1S72|1|0|G|1433","1S72|1|0|A|1434","1S72|1|0|C|1436" "2QBG|1|B|G|1324","2QBG|1|B|U|1326","2QBG|1|B|A|1327","2QBG|1|B|A|1328","2QBG|1|B|C|1330" "3V2F|1|A|C|1631","3V2F|1|A|A|1631|||A","3V2F|1|A|A|1632","3V2F|1|A|G|1633","3V2F|1|A|G|1635" "2ZJR|1|X|U|1647","2ZJR|1|X|C|1648","2ZJR|1|X|A|1649","2ZJR|1|X|A|1650","2ZJR|1|X|G|1652" "2ZJR|1|X|C|1185","2ZJR|1|X|G|1186","2ZJR|1|X|A|1187","2ZJR|1|X|A|1188","2ZJR|1|X|G|1189" >HL_80492.2 "2BTE|1|B|G|12","2BTE|1|B|G|13","2BTE|1|B|A|14","2BTE|1|B|A|15","2BTE|1|B|A|20|||A","2BTE|1|B|G|21","2BTE|1|B|A|22","2BTE|1|B|C|23" "2BTE|1|E|G|12","2BTE|1|E|G|13","2BTE|1|E|A|14","2BTE|1|E|A|15","2BTE|1|E|A|20|||A","2BTE|1|E|G|21","2BTE|1|E|A|22","2BTE|1|E|C|23" "4AQ7|1|B|G|12","4AQ7|1|B|G|13","4AQ7|1|B|A|14","4AQ7|1|B|A|15","4AQ7|1|B|A|20|||A","4AQ7|1|B|G|21","4AQ7|1|B|A|22","4AQ7|1|B|C|23" >HL_80746.1 "3U5H|1|5|C|69","3U5H|1|5|A|70","3U5H|1|5|A|71","3U5H|1|5|C|72","3U5H|1|5|G|74" >HL_81555.1 "2NR0|1|E|C|45|||D","2NR0|1|E|A|45|||E","2NR0|1|E|A|45|||F","2NR0|1|E|U|45|||G","2NR0|1|E|A|45|||H","2NR0|1|E|G|46|||I" "2ZJR|1|X|G|1508","2ZJR|1|X|A|1509","2ZJR|1|X|A|1510","2ZJR|1|X|A|1511","2ZJR|1|X|A|1512","2ZJR|1|X|C|1514" >HL_81661.1 "1QRS|1|B|C|12","1QRS|1|B|A|13","1QRS|1|B|A|14","1QRS|1|B|G|15","1QRS|1|B|C|16","1QRS|1|B|G|19","1QRS|1|B|U|20","1QRS|1|B|A|21","1QRS|1|B|A|22","1QRS|1|B|G|23" >HL_81752.1 "3AL0|1|E|U|13","3AL0|1|E|A|14","3AL0|1|E|A|15","3AL0|1|E|A|21","3AL0|1|E|G|22" "1EIY|1|C|C|13","1EIY|1|C|A|14","1EIY|1|C|G|15","1EIY|1|C|A|21","1EIY|1|C|G|22" >HL_81780.1 "2ZJR|1|X|C|725","2ZJR|1|X|G|726","2ZJR|1|X|U|727","2ZJR|1|X|A|729","2ZJR|1|X|C|730","2ZJR|1|X|A|731","2ZJR|1|X|G|732" >HL_82243.1 "4A1B|1|1|G|302","4A1B|1|1|U|304","4A1B|1|1|A|305","4A1B|1|1|A|306","4A1B|1|1|A|307","4A1B|1|1|C|308","4A1B|1|1|U|309","4A1B|1|1|U|310","4A1B|1|1|C|311" >HL_82253.1 "3OWZ|1|B|G|49","3OWZ|1|B|G|50","3OWZ|1|B|C|54" "1WZ2|1|D|C|950","1WZ2|1|D|G|951","1WZ2|1|D|G|955" "4AQ7|1|B|G|47|||A","4AQ7|1|B|C|47|||D","4AQ7|1|B|C|47|||F" >HL_82288.1 "4A1B|1|1|C|1374","4A1B|1|1|A|1375","4A1B|1|1|A|1377","4A1B|1|1|U|1378","4A1B|1|1|A|1382","4A1B|1|1|G|1384" >HL_82294.2 "2XZM|1|A|G|1281","2XZM|1|A|U|1282","2XZM|1|A|U|1283","2XZM|1|A|A|1284","2XZM|1|A|A|1285","2XZM|1|A|U|1287","2XZM|1|A|C|1288" "1FJG|1|A|G|1089","1FJG|1|A|U|1090","1FJG|1|A|U|1091","1FJG|1|A|A|1092","1FJG|1|A|A|1093","1FJG|1|A|U|1095","1FJG|1|A|C|1096" "2AW7|1|A|G|1089","2AW7|1|A|U|1090","2AW7|1|A|U|1091","2AW7|1|A|A|1092","2AW7|1|A|A|1093","2AW7|1|A|U|1095","2AW7|1|A|C|1096" "3U5F|1|6|C|1309","3U5F|1|6|U|1310","3U5F|1|6|U|1311","3U5F|1|6|A|1312","3U5F|1|6|A|1313","3U5F|1|6|U|1315","3U5F|1|6|G|1316" "3R4F|1|A|A|24","3R4F|1|A|U|25","3R4F|1|A|U|26","3R4F|1|A|G|27","3R4F|1|A|A|28","3R4F|1|A|U|30","3R4F|1|A|U|31" "3U5H|1|5|C|1076","3U5H|1|5|U|1077","3U5H|1|5|U|1078","3U5H|1|5|A|1079","3U5H|1|5|A|1080","3U5H|1|5|U|1082","3U5H|1|5|G|1083" "4A1B|1|1|C|1104","4A1B|1|1|U|1105","4A1B|1|1|U|1106","4A1B|1|1|A|1107","4A1B|1|1|A|1108","4A1B|1|1|U|1110","4A1B|1|1|G|1111" "3U5H|1|5|G|1719","3U5H|1|5|U|1720","3U5H|1|5|U|1721","3U5H|1|5|U|1722","3U5H|1|5|A|1723","3U5H|1|5|U|1724","3U5H|1|5|C|1725" >HL_83053.1 "1FJG|1|A|G|1356","1FJG|1|A|A|1357","1FJG|1|A|U|1358","1FJG|1|A|C|1359","1FJG|1|A|A|1360","1FJG|1|A|G|1361","1FJG|1|A|C|1361|||A","1FJG|1|A|C|1362","1FJG|1|A|A|1363","1FJG|1|A|G|1365","1FJG|1|A|C|1366" >HL_84289.1 "3EGZ|1|B|G|12","3EGZ|1|B|A|13","3EGZ|1|B|A|14","3EGZ|1|B|G|15","3EGZ|1|B|A|16","3EGZ|1|B|U|18","3EGZ|1|B|A|19","3EGZ|1|B|C|20","3EGZ|1|B|A|22","3EGZ|1|B|C|23","3EGZ|1|B|C|24" >HL_84353.1 "1F1T|1|A|C|14","1F1T|1|A|G|15","1F1T|1|A|A|16","1F1T|1|A|G|17","1F1T|1|A|A|18","1F1T|1|A|G|19" "4FAW|1|A|G|135","4FAW|1|A|G|136","4FAW|1|A|A|138","4FAW|1|A|C|139","4FAW|1|A|A|140","4FAW|1|A|C|141" >HL_84888.1 "3CUN|1|C|G|69","3CUN|1|C|U|70","3CUN|1|C|U|71","3CUN|1|C|C|72","3CUN|1|C|G|73","3CUN|1|C|A|74","3CUN|1|C|A|75","3CUN|1|C|U|76","3CUN|1|C|C|77" >HL_85018.1 "4FRN|1|A|G|90","4FRN|1|A|C|91","4FRN|1|A|A|92","4FRN|1|A|A|93","4FRN|1|A|G|94","4FRN|1|A|G|95","4FRN|1|A|A|96","4FRN|1|A|G|97","4FRN|1|A|A|98","4FRN|1|A|C|99" >HL_85044.1 >HL_85138.1 "2ZJR|1|X|G|121","2ZJR|1|X|G|122","2ZJR|1|X|A|124","2ZJR|1|X|A|125","2ZJR|1|X|C|126" >HL_85534.1 "4A1B|1|1|U|2131","4A1B|1|1|U|2132","4A1B|1|1|U|2133","4A1B|1|1|A|2137","4A1B|1|1|A|2138","4A1B|1|1|A|2139","4A1B|1|1|A|2141" "2QBG|1|B|U|1777","2QBG|1|B|U|1778","2QBG|1|B|U|1779","2QBG|1|B|A|1783","2QBG|1|B|A|1784","2QBG|1|B|A|1785","2QBG|1|B|A|1787" "1S72|1|0|U|1833","1S72|1|0|C|1834","1S72|1|0|U|1835","1S72|1|0|A|1839","1S72|1|0|A|1840","1S72|1|0|C|1841","1S72|1|0|A|1843" "3V2F|1|A|U|1777","3V2F|1|A|U|1778","3V2F|1|A|U|1779","3V2F|1|A|A|1783","3V2F|1|A|A|1784","3V2F|1|A|A|1785","3V2F|1|A|A|1787" "3U5H|1|5|U|2135","3U5H|1|5|C|2136","3U5H|1|5|U|2137","3U5H|1|5|U|2141","3U5H|1|5|A|2142","3U5H|1|5|A|2143","3U5H|1|5|A|2145" >HL_85753.1 "3SUX|1|X|A|38","3SUX|1|X|C|39","3SUX|1|X|G|41","3SUX|1|X|G|42","3SUX|1|X|G|43","3SUX|1|X|A|44","3SUX|1|X|G|45","3SUX|1|X|U|46","3SUX|1|X|U|47" >HL_86123.2 "1FJG|1|A|C|1314","1FJG|1|A|U|1315","1FJG|1|A|G|1316","1FJG|1|A|C|1317","1FJG|1|A|A|1318","1FJG|1|A|A|1319","1FJG|1|A|C|1320","1FJG|1|A|C|1321","1FJG|1|A|C|1322","1FJG|1|A|G|1323" "2AW7|1|A|C|1314","2AW7|1|A|U|1315","2AW7|1|A|G|1316","2AW7|1|A|C|1317","2AW7|1|A|A|1318","2AW7|1|A|A|1319","2AW7|1|A|C|1320","2AW7|1|A|U|1321","2AW7|1|A|C|1322","2AW7|1|A|G|1323" >HL_87136.1 "3V2F|1|A|G|978","3V2F|1|A|G|979","3V2F|1|A|A|980","3V2F|1|A|A|981","3V2F|1|A|C|982","3V2F|1|A|A|983","3V2F|1|A|A|984","3V2F|1|A|C|985" "1S72|1|0|G|1076","1S72|1|0|G|1077","1S72|1|0|A|1078","1S72|1|0|A|1079","1S72|1|0|C|1080","1S72|1|0|A|1081","1S72|1|0|A|1082","1S72|1|0|C|1083" "2ZJR|1|X|G|989","2ZJR|1|X|A|990","2ZJR|1|X|A|991","2ZJR|1|X|A|992","2ZJR|1|X|C|993","2ZJR|1|X|A|994","2ZJR|1|X|A|995","2ZJR|1|X|C|996" "3U5H|1|5|G|1148","3U5H|1|5|G|1149","3U5H|1|5|A|1150","3U5H|1|5|U|1151","3U5H|1|5|G|1152","3U5H|1|5|A|1153","3U5H|1|5|A|1154","3U5H|1|5|C|1155" "4A1B|1|1|G|1175","4A1B|1|1|G|1176","4A1B|1|1|A|1177","4A1B|1|1|U|1178","4A1B|1|1|G|1179","4A1B|1|1|A|1180","4A1B|1|1|A|1181","4A1B|1|1|C|1182" "2QBG|1|B|G|978","2QBG|1|B|A|979","2QBG|1|B|A|980","2QBG|1|B|A|981","2QBG|1|B|C|982","2QBG|1|B|A|983","2QBG|1|B|A|984","2QBG|1|B|C|985" >HL_87223.1 "2W2H|1|S|U|10","2W2H|1|S|C|12","2W2H|1|S|U|13","2W2H|1|S|G|14","2W2H|1|S|C|15","2W2H|1|S|G|16","2W2H|1|S|G|17" >HL_87554.1 "3SN2|1|B|C|14","3SN2|1|B|A|15","3SN2|1|B|G|16","3SN2|1|B|U|17","3SN2|1|B|G|18" "3SNP|1|C|C|14","3SNP|1|C|A|15","3SNP|1|C|G|16","3SNP|1|C|U|17","3SNP|1|C|G|18" >HL_87844.1 "2QBG|1|B|G|194","2QBG|1|B|A|195","2QBG|1|B|A|197","2QBG|1|B|C|198","2QBG|1|B|U|200","2QBG|1|B|C|201" "3V2F|1|A|G|194","3V2F|1|A|A|195","3V2F|1|A|A|197","3V2F|1|A|C|198","3V2F|1|A|U|200","3V2F|1|A|C|201" "1S72|1|0|G|164","1S72|1|0|A|165","1S72|1|0|A|167","1S72|1|0|C|168","1S72|1|0|U|170","1S72|1|0|C|171" "3U5H|1|5|U|38","3U5H|1|5|A|39","3U5H|1|5|G|41","3U5H|1|5|C|42","3U5H|1|5|U|44","3U5H|1|5|A|45" "2ZJR|1|X|G|171","2ZJR|1|X|A|172","2ZJR|1|X|A|174","2ZJR|1|X|C|175","2ZJR|1|X|U|177","2ZJR|1|X|C|178" "4A1B|1|1|U|36","4A1B|1|1|A|37","4A1B|1|1|G|39","4A1B|1|1|C|40","4A1B|1|1|U|42","4A1B|1|1|A|43" "3DIR|1|A|G|124","3DIR|1|A|G|125","3DIR|1|A|A|126","3DIR|1|A|A|127","3DIR|1|A|A|129","3DIR|1|A|C|130" "2QBG|1|B|U|641","2QBG|1|B|U|642","2QBG|1|B|A|643","2QBG|1|B|A|644","2QBG|1|B|U|646","2QBG|1|B|G|647" "3V2F|1|A|C|641","3V2F|1|A|G|642","3V2F|1|A|A|643","3V2F|1|A|A|644","3V2F|1|A|A|646","3V2F|1|A|G|647" >HL_88311.1 "3V2F|1|A|G|744","3V2F|1|A|G|745","3V2F|1|A|A|746","3V2F|1|A|G|748","3V2F|1|A|C|749","3V2F|1|A|A|750","3V2F|1|A|A|752","3V2F|1|A|C|753" "2ZJR|1|X|U|757","2ZJR|1|X|G|758","2ZJR|1|X|C|759","2ZJR|1|X|G|761","2ZJR|1|X|A|762","2ZJR|1|X|A|763","2ZJR|1|X|C|765","2ZJR|1|X|A|766" "4A1B|1|1|A|901","4A1B|1|1|C|902","4A1B|1|1|G|903","4A1B|1|1|G|905","4A1B|1|1|C|906","4A1B|1|1|A|907","4A1B|1|1|A|909","4A1B|1|1|U|910" "1S72|1|0|U|837","1S72|1|0|C|838","1S72|1|0|C|839","1S72|1|0|A|841","1S72|1|0|C|842","1S72|1|0|A|843","1S72|1|0|U|845","1S72|1|0|A|846" "2QBG|1|B|U|744","2QBG|1|B|G|745","2QBG|1|B|U|746","2QBG|1|B|G|748","2QBG|1|B|A|749","2QBG|1|B|A|750","2QBG|1|B|A|752","2QBG|1|B|A|753" >HL_88960.1 "3IVN|1|A|G|37","3IVN|1|A|U|38","3IVN|1|A|G|39","3IVN|1|A|U|40","3IVN|1|A|C|41","3IVN|1|A|U|42","3IVN|1|A|A|43","3IVN|1|A|C|44" >HL_90102.1 "3U5H|1|8|U|69","3U5H|1|8|G|70","3U5H|1|8|A|71","3U5H|1|8|A|72","3U5H|1|8|U|73","3U5H|1|8|U|74","3U5H|1|8|G|75","3U5H|1|8|C|76","3U5H|1|8|A|77","3U5H|1|8|G|78","3U5H|1|8|A|79","3U5H|1|8|A|80","3U5H|1|8|U|81","3U5H|1|8|U|82","3U5H|1|8|C|83","3U5H|1|8|G|85","3U5H|1|8|G|87","3U5H|1|8|A|88","3U5H|1|8|A|89" >HL_90365.1 "2ZJR|1|Y|C|35","2ZJR|1|Y|A|36","2ZJR|1|Y|C|37","2ZJR|1|Y|C|38","2ZJR|1|Y|C|39","2ZJR|1|Y|C|40","2ZJR|1|Y|A|41","2ZJR|1|Y|U|42","2ZJR|1|Y|C|44","2ZJR|1|Y|C|45","2ZJR|1|Y|G|46","2ZJR|1|Y|A|47","2ZJR|1|Y|A|48","2ZJR|1|Y|C|49","2ZJR|1|Y|U|50","2ZJR|1|Y|G|51" >HL_90579.1 "2ZJR|1|X|C|2383","2ZJR|1|X|G|2384","2ZJR|1|X|U|2385","2ZJR|1|X|G|2386","2ZJR|1|X|U|2387","2ZJR|1|X|G|2388","2ZJR|1|X|G|2389","2ZJR|1|X|A|2390","2ZJR|1|X|A|2391","2ZJR|1|X|G|2392" "3V2F|1|A|C|2404","3V2F|1|A|G|2405","3V2F|1|A|U|2406","3V2F|1|A|G|2407","3V2F|1|A|U|2408","3V2F|1|A|G|2409","3V2F|1|A|G|2410","3V2F|1|A|A|2411","3V2F|1|A|A|2412","3V2F|1|A|G|2413" "2QBG|1|B|U|2404","2QBG|1|B|G|2405","2QBG|1|B|A|2406","2QBG|1|B|A|2407","2QBG|1|B|U|2408","2QBG|1|B|G|2409","2QBG|1|B|G|2410","2QBG|1|B|A|2411","2QBG|1|B|A|2412","2QBG|1|B|G|2413" >HL_91226.2 "2NZ4|1|S|G|71","2NZ4|1|S|U|73","2NZ4|1|S|C|74","2NZ4|1|S|A|75","2NZ4|1|S|U|76","2NZ4|1|S|C|77","2NZ4|1|S|A|78","2NZ4|1|S|C|79" "2NZ4|1|R|G|71","2NZ4|1|R|U|73","2NZ4|1|R|C|74","2NZ4|1|R|A|75","2NZ4|1|R|U|76","2NZ4|1|R|C|77","2NZ4|1|R|A|78","2NZ4|1|R|C|79" "1S72|1|0|G|2442","1S72|1|0|U|2444","1S72|1|0|U|2445","1S72|1|0|G|2446","1S72|1|0|A|2447","1S72|1|0|U|2448","1S72|1|0|G|2449","1S72|1|0|C|2450" "3U5H|1|5|G|303","3U5H|1|5|A|306","3U5H|1|5|A|307","3U5H|1|5|A|308","3U5H|1|5|U|309","3U5H|1|5|U|310","3U5H|1|5|C|311","3U5H|1|5|C|312" "1S72|1|0|G|416","1S72|1|0|C|418","1S72|1|0|A|419","1S72|1|0|U|420","1S72|1|0|C|421","1S72|1|0|G|422","1S72|1|0|A|423","1S72|1|0|C|424" "4A1B|1|1|A|2764","4A1B|1|1|A|2766","4A1B|1|1|A|2767","4A1B|1|1|G|2768","4A1B|1|1|U|2769","4A1B|1|1|U|2770","4A1B|1|1|U|2771","4A1B|1|1|U|2772" "3U5H|1|5|C|2776","3U5H|1|5|G|2778","3U5H|1|5|A|2779","3U5H|1|5|A|2780","3U5H|1|5|U|2781","3U5H|1|5|U|2782","3U5H|1|5|U|2783","3U5H|1|5|G|2784" "4FRN|1|A|U|44","4FRN|1|A|U|45","4FRN|1|A|A|46","4FRN|1|A|C|47","4FRN|1|A|U|48","4FRN|1|A|U|49","4FRN|1|A|G|50","4FRN|1|A|A|51" "4GMA|1|Z|G|74","4GMA|1|Z|C|75","4GMA|1|Z|C|76","4GMA|1|Z|C|77","4GMA|1|Z|C|78","4GMA|1|Z|C|79","4GMA|1|Z|G|80","4GMA|1|Z|C|81" "4ENB|1|A|G|5","4ENB|1|A|G|8","4ENB|1|A|A|9","4ENB|1|A|G|10","4ENB|1|A|G|11","4ENB|1|A|C|12","4ENB|1|A|C|13","4ENB|1|A|C|14" "3V2F|1|A|C|2324","3V2F|1|A|G|2325","3V2F|1|A|C|2326","3V2F|1|A|A|2327","3V2F|1|A|A|2328","3V2F|1|A|G|2329","3V2F|1|A|G|2330","3V2F|1|A|G|2331" "2ZJR|1|X|C|2303","2ZJR|1|X|G|2304","2ZJR|1|X|C|2305","2ZJR|1|X|A|2306","2ZJR|1|X|A|2307","2ZJR|1|X|A|2308","2ZJR|1|X|G|2309","2ZJR|1|X|G|2310" "4A1B|1|1|C|2682","4A1B|1|1|A|2683","4A1B|1|1|A|2684","4A1B|1|1|A|2685","4A1B|1|1|A|2686","4A1B|1|1|G|2687","4A1B|1|1|G|2688","4A1B|1|1|G|2689" "3U5H|1|5|C|2693","3U5H|1|5|A|2694","3U5H|1|5|A|2695","3U5H|1|5|A|2696","3U5H|1|5|A|2697","3U5H|1|5|G|2698","3U5H|1|5|G|2699","3U5H|1|5|G|2700" "2JLT|1|B|C|5","2JLT|1|B|C|6","2JLT|1|B|U|7","2JLT|1|B|G|8","2JLT|1|B|G|9","2JLT|1|B|G|10","2JLT|1|B|A|11","2JLT|1|B|G|12" "2QBG|1|B|U|2324","2QBG|1|B|G|2325","2QBG|1|B|C|2326","2QBG|1|B|A|2327","2QBG|1|B|A|2328","2QBG|1|B|U|2329","2QBG|1|B|G|2330","2QBG|1|B|G|2331" "1S72|1|0|U|2358","1S72|1|0|G|2359","1S72|1|0|C|2360","1S72|1|0|A|2361","1S72|1|0|A|2362","1S72|1|0|G|2363","1S72|1|0|A|2364","1S72|1|0|G|2365" >HL_91613.1 >HL_93206.1 "2ZJR|1|X|U|2772","2ZJR|1|X|G|2773","2ZJR|1|X|U|2776","2ZJR|1|X|A|2777","2ZJR|1|X|C|2779","2ZJR|1|X|A|2780" >HL_93567.1 "2AW7|1|A|C|840","2AW7|1|A|C|841","2AW7|1|A|U|842","2AW7|1|A|U|843","2AW7|1|A|G|844","2AW7|1|A|A|845","2AW7|1|A|G|846" >HL_94145.1 "2AW7|1|A|G|1356","2AW7|1|A|A|1357","2AW7|1|A|U|1358","2AW7|1|A|C|1359","2AW7|1|A|A|1360","2AW7|1|A|G|1361","2AW7|1|A|A|1362","2AW7|1|A|A|1363","2AW7|1|A|G|1365","2AW7|1|A|C|1366" >HL_94578.1 "2CV1|1|D|U|513","2CV1|1|D|A|514","2CV1|1|D|G|515","2CV1|1|D|U|520","2CV1|1|D|A|521","2CV1|1|D|G|522" "2DER|1|D|U|13","2DER|1|D|A|14","2DER|1|D|G|15","2DER|1|D|C|20","2DER|1|D|A|21","2DER|1|D|G|22" >HL_94697.1 "2QBG|1|B|G|2303","2QBG|1|B|G|2304","2QBG|1|B|U|2305","2QBG|1|B|C|2306","2QBG|1|B|G|2307","2QBG|1|B|G|2308","2QBG|1|B|A|2309","2QBG|1|B|C|2310","2QBG|1|B|A|2311","2QBG|1|B|U|2312","2QBG|1|B|C|2313" >HL_95423.2 "3U5H|1|5|C|3194","3U5H|1|5|U|3196","3U5H|1|5|G|3197" "3U5H|1|5|C|132","3U5H|1|5|U|134","3U5H|1|5|G|136" "1ZBH|1|F|U|11","1ZBH|1|F|U|14","1ZBH|1|F|A|16" "4HXH|1|A|U|11","4HXH|1|A|U|14","4HXH|1|A|A|16" "1FJG|1|A|G|838","1FJG|1|A|C|840","1FJG|1|A|C|848" >HL_95716.1 "3U5H|1|8|G|124","3U5H|1|8|A|126","3U5H|1|8|U|127","3U5H|1|8|U|128","3U5H|1|8|C|129" >HL_96192.1 "3U5F|1|6|U|764","3U5F|1|6|U|766","3U5F|1|6|U|767","3U5F|1|6|C|768","3U5F|1|6|A|769","3U5F|1|6|A|770","3U5F|1|6|A|771","3U5F|1|6|G|772" >HL_96915.1 "3U5H|1|5|U|2724","3U5H|1|5|U|2725","3U5H|1|5|C|2726","3U5H|1|5|A|2727","3U5H|1|5|G|2728","3U5H|1|5|U|2729","3U5H|1|5|G|2730","3U5H|1|5|U|2731","3U5H|1|5|G|2732" "4A1B|1|1|U|2713","4A1B|1|1|U|2714","4A1B|1|1|C|2715","4A1B|1|1|A|2716","4A1B|1|1|G|2717","4A1B|1|1|U|2718","4A1B|1|1|A|2719","4A1B|1|1|G|2720","4A1B|1|1|G|2721" >HL_97270.1 "1S72|1|0|U|312","1S72|1|0|U|313","1S72|1|0|G|314","1S72|1|0|G|315","1S72|1|0|A|316","1S72|1|0|A|317","1S72|1|0|A|319" "3U5H|1|5|U|194","3U5H|1|5|U|195","3U5H|1|5|G|196","3U5H|1|5|G|197","3U5H|1|5|A|198","3U5H|1|5|A|199","3U5H|1|5|A|201" "2QBG|1|B|C|305","2QBG|1|B|U|306","2QBG|1|B|G|307","2QBG|1|B|G|308","2QBG|1|B|A|309","2QBG|1|B|A|310","2QBG|1|B|G|312" "4A1B|1|1|U|194","4A1B|1|1|U|195","4A1B|1|1|G|196","4A1B|1|1|G|197","4A1B|1|1|A|198","4A1B|1|1|A|199","4A1B|1|1|A|201" "2ZJR|1|X|C|316","2ZJR|1|X|U|317","2ZJR|1|X|G|318","2ZJR|1|X|G|319","2ZJR|1|X|A|320","2ZJR|1|X|A|321","2ZJR|1|X|G|323" "3DHS|1|A|C|69","3DHS|1|A|U|70","3DHS|1|A|G|71","3DHS|1|A|A|72","3DHS|1|A|G|73","3DHS|1|A|A|74","3DHS|1|A|G|76" "3V2F|1|A|U|305","3V2F|1|A|U|306","3V2F|1|A|G|307","3V2F|1|A|G|308","3V2F|1|A|G|309","3V2F|1|A|A|310","3V2F|1|A|G|312" "2A64|1|A|C|69","2A64|1|A|U|70","2A64|1|A|G|71","2A64|1|A|A|72","2A64|1|A|G|73","2A64|1|A|A|74","2A64|1|A|G|76" "3U5F|1|6|G|243","3U5F|1|6|A|244","3U5F|1|6|U|245","3U5F|1|6|G|246","3U5F|1|6|A|247","3U5F|1|6|U|248","3U5F|1|6|C|250" "2XZM|1|A|G|240","2XZM|1|A|A|241","2XZM|1|A|U|242","2XZM|1|A|G|243","2XZM|1|A|A|244","2XZM|1|A|A|245","2XZM|1|A|C|247" "2GDI|1|Y|G|66","2GDI|1|Y|A|67","2GDI|1|Y|U|68","2GDI|1|Y|A|69","2GDI|1|Y|A|70","2GDI|1|Y|U|71","2GDI|1|Y|C|73" "2HOJ|1|A|G|66","2HOJ|1|A|A|67","2HOJ|1|A|U|68","2HOJ|1|A|A|69","2HOJ|1|A|A|70","2HOJ|1|A|U|71","2HOJ|1|A|C|73" "2GDI|1|X|G|66","2GDI|1|X|A|67","2GDI|1|X|U|68","2GDI|1|X|A|69","2GDI|1|X|A|70","2GDI|1|X|U|71","2GDI|1|X|C|73" "1S72|1|0|C|1594","1S72|1|0|G|1595","1S72|1|0|U|1596","1S72|1|0|A|1597","1S72|1|0|A|1598","1S72|1|0|U|1599","1S72|1|0|G|1600" "4A1B|1|1|G|1743","4A1B|1|1|A|1744","4A1B|1|1|U|1745","4A1B|1|1|U|1746","4A1B|1|1|A|1747","4A1B|1|1|U|1748","4A1B|1|1|C|1749" >HL_97499.1 "2QBG|1|B|U|2796","2QBG|1|B|U|2798","2QBG|1|B|A|2799","2QBG|1|B|A|2800" >HL_97784.1 "4A1B|1|1|A|3161","4A1B|1|1|A|3163","4A1B|1|1|A|3164","4A1B|1|1|A|3165","4A1B|1|1|A|3166","4A1B|1|1|U|3167","4A1B|1|1|A|3169","4A1B|1|1|A|3170","4A1B|1|1|A|3171","4A1B|1|1|A|3172","4A1B|1|1|U|3173","4A1B|1|1|A|3175","4A1B|1|1|A|3176","4A1B|1|1|G|3177","4A1B|1|1|U|3178" >HL_97971.1 "3V2F|1|A|G|139","3V2F|1|A|G|139|||A","3V2F|1|A|G|140","3V2F|1|A|A|141","3V2F|1|A|A|142","3V2F|1|A|C|142|||A" >HL_98233.1 >HL_98523.1 "3Q1Q|1|B|G|77","3Q1Q|1|B|U|78","3Q1Q|1|B|A|79","3Q1Q|1|B|A|80","3Q1Q|1|B|C|81","3Q1Q|1|B|C|83" "3P49|1|A|G|41","3P49|1|A|U|42","3P49|1|A|A|43","3P49|1|A|A|44","3P49|1|A|A|45","3P49|1|A|C|47" "1U9S|1|A|G|84","1U9S|1|A|U|85","1U9S|1|A|A|86","1U9S|1|A|A|87","1U9S|1|A|C|88","1U9S|1|A|C|90" "2XZM|1|A|C|148","2XZM|1|A|U|149","2XZM|1|A|A|150","2XZM|1|A|A|151","2XZM|1|A|U|152","2XZM|1|A|G|154" >HL_98577.1 "3D0U|1|A|C|38","3D0U|1|A|A|39","3D0U|1|A|G|40","3D0U|1|A|G|41","3D0U|1|A|G|42","3D0U|1|A|G|43","3D0U|1|A|A|44","3D0U|1|A|G|45","3D0U|1|A|G|46","3D0U|1|A|A|47","3D0U|1|A|A|48","3D0U|1|A|U|49","3D0U|1|A|G|51" "3DIR|1|A|C|41","3DIR|1|A|A|42","3DIR|1|A|G|43","3DIR|1|A|G|44","3DIR|1|A|G|45","3DIR|1|A|G|46","3DIR|1|A|A|47","3DIR|1|A|G|48","3DIR|1|A|G|49","3DIR|1|A|A|50","3DIR|1|A|A|51","3DIR|1|A|U|52","3DIR|1|A|G|54" >HL_98833.1 "1J1U|1|B|C|513","1J1U|1|B|A|514","1J1U|1|B|G|515","1J1U|1|B|C|517","1J1U|1|B|U|518","1J1U|1|B|A|522","1J1U|1|B|G|523" "1H4S|1|T|C|13","1H4S|1|T|A|14","1H4S|1|T|G|15","1H4S|1|T|C|17","1H4S|1|T|C|17|||A","1H4S|1|T|A|21","1H4S|1|T|G|22" "2ZUF|1|B|U|913","2ZUF|1|B|A|914","2ZUF|1|B|G|915","2ZUF|1|B|C|917","2ZUF|1|B|A|917|||A","2ZUF|1|B|A|921","2ZUF|1|B|G|922" >HL_99207.1 "4A1B|1|1|U|2249","4A1B|1|1|A|2250","4A1B|1|1|A|2251","4A1B|1|1|C|2252","4A1B|1|1|U|2253","4A1B|1|1|A|2254","4A1B|1|1|A|2257" >HL_99633.1 "3U5H|1|5|G|910","3U5H|1|5|C|911","3U5H|1|5|G|912","3U5H|1|5|A|914","3U5H|1|5|A|915","3U5H|1|5|G|916","3U5H|1|5|A|917","3U5H|1|5|C|918" "4A1B|1|1|G|935","4A1B|1|1|C|936","4A1B|1|1|G|937","4A1B|1|1|A|939","4A1B|1|1|A|940","4A1B|1|1|G|941","4A1B|1|1|A|942","4A1B|1|1|C|943" >HL_99779.1