A PHP Error was encountered

Severity: Notice

Message: Undefined index: HL_4PKD_002

Filename: models/motif_model.php

Line Number: 72

A PHP Error was encountered

Severity: Warning

Message: implode(): Invalid arguments passed

Filename: models/motif_model.php

Line Number: 84

A PHP Error was encountered

Severity: Notice

Message: Undefined index: HL_3TRZ_001

Filename: models/motif_model.php

Line Number: 72

A PHP Error was encountered

Severity: Warning

Message: implode(): Invalid arguments passed

Filename: models/motif_model.php

Line Number: 84

A PHP Error was encountered

Severity: Notice

Message: Undefined index: HL_2QUS_001

Filename: models/motif_model.php

Line Number: 72

A PHP Error was encountered

Severity: Notice

Message: Undefined index: HL_2QUW_001

Filename: models/motif_model.php

Line Number: 72

A PHP Error was encountered

Severity: Warning

Message: implode(): Invalid arguments passed

Filename: models/motif_model.php

Line Number: 84

A PHP Error was encountered

Severity: Warning

Message: implode(): Invalid arguments passed

Filename: models/motif_model.php

Line Number: 84

A PHP Error was encountered

Severity: Notice

Message: Undefined index: HL_4WFL_002

Filename: models/motif_model.php

Line Number: 72

A PHP Error was encountered

Severity: Warning

Message: implode(): Invalid arguments passed

Filename: models/motif_model.php

Line Number: 84

A PHP Error was encountered

Severity: Notice

Message: Undefined index: HL_2ZY6_001

Filename: models/motif_model.php

Line Number: 72

A PHP Error was encountered

Severity: Warning

Message: implode(): Invalid arguments passed

Filename: models/motif_model.php

Line Number: 84

A PHP Error was encountered

Severity: Notice

Message: Undefined index: HL_3D0U_001

Filename: models/motif_model.php

Line Number: 72

A PHP Error was encountered

Severity: Notice

Message: Undefined index: HL_3DIL_001

Filename: models/motif_model.php

Line Number: 72

A PHP Error was encountered

Severity: Warning

Message: implode(): Invalid arguments passed

Filename: models/motif_model.php

Line Number: 84

A PHP Error was encountered

Severity: Warning

Message: implode(): Invalid arguments passed

Filename: models/motif_model.php

Line Number: 84

A PHP Error was encountered

Severity: Notice

Message: Undefined index: HL_4V88_209

Filename: models/motif_model.php

Line Number: 72

A PHP Error was encountered

Severity: Warning

Message: implode(): Invalid arguments passed

Filename: models/motif_model.php

Line Number: 84

A PHP Error was encountered

Severity: Notice

Message: Undefined index: HL_1S03_002

Filename: models/motif_model.php

Line Number: 72

A PHP Error was encountered

Severity: Warning

Message: implode(): Invalid arguments passed

Filename: models/motif_model.php

Line Number: 84

A PHP Error was encountered

Severity: Notice

Message: Undefined index: HL_359D_002

Filename: models/motif_model.php

Line Number: 72

A PHP Error was encountered

Severity: Warning

Message: implode(): Invalid arguments passed

Filename: models/motif_model.php

Line Number: 84

A PHP Error was encountered

Severity: Notice

Message: Undefined index: HL_4YYE_002

Filename: models/motif_model.php

Line Number: 72

A PHP Error was encountered

Severity: Warning

Message: implode(): Invalid arguments passed

Filename: models/motif_model.php

Line Number: 84

A PHP Error was encountered

Severity: Notice

Message: Undefined index: HL_3Q3Z_001

Filename: models/motif_model.php

Line Number: 72

A PHP Error was encountered

Severity: Warning

Message: implode(): Invalid arguments passed

Filename: models/motif_model.php

Line Number: 84

A PHP Error was encountered

Severity: Notice

Message: Undefined index: HL_4PLX_002

Filename: models/motif_model.php

Line Number: 72

A PHP Error was encountered

Severity: Warning

Message: implode(): Invalid arguments passed

Filename: models/motif_model.php

Line Number: 84

A PHP Error was encountered

Severity: Notice

Message: Undefined index: HL_4MGN_001

Filename: models/motif_model.php

Line Number: 72

A PHP Error was encountered

Severity: Notice

Message: Undefined index: HL_4JRC_002

Filename: models/motif_model.php

Line Number: 72

A PHP Error was encountered

Severity: Notice

Message: Undefined index: HL_4LCK_010

Filename: models/motif_model.php

Line Number: 72

A PHP Error was encountered

Severity: Warning

Message: implode(): Invalid arguments passed

Filename: models/motif_model.php

Line Number: 84

A PHP Error was encountered

Severity: Warning

Message: implode(): Invalid arguments passed

Filename: models/motif_model.php

Line Number: 84

A PHP Error was encountered

Severity: Warning

Message: implode(): Invalid arguments passed

Filename: models/motif_model.php

Line Number: 84

A PHP Error was encountered

Severity: Notice

Message: Undefined index: HL_4UYK_002

Filename: models/motif_model.php

Line Number: 72

A PHP Error was encountered

Severity: Warning

Message: implode(): Invalid arguments passed

Filename: models/motif_model.php

Line Number: 84

A PHP Error was encountered

Severity: Notice

Message: Undefined index: HL_4QK9_003

Filename: models/motif_model.php

Line Number: 72

A PHP Error was encountered

Severity: Warning

Message: implode(): Invalid arguments passed

Filename: models/motif_model.php

Line Number: 84

A PHP Error was encountered

Severity: Notice

Message: Undefined index: HL_5NWQ_001

Filename: models/motif_model.php

Line Number: 72

A PHP Error was encountered

Severity: Warning

Message: implode(): Invalid arguments passed

Filename: models/motif_model.php

Line Number: 84

A PHP Error was encountered

Severity: Notice

Message: Undefined index: HL_5K36_001

Filename: models/motif_model.php

Line Number: 72

A PHP Error was encountered

Severity: Warning

Message: implode(): Invalid arguments passed

Filename: models/motif_model.php

Line Number: 84

A PHP Error was encountered

Severity: Notice

Message: Undefined index: HL_6DU4_001

Filename: models/motif_model.php

Line Number: 72

A PHP Error was encountered

Severity: Notice

Message: Undefined index: HL_6DU5_001

Filename: models/motif_model.php

Line Number: 72

A PHP Error was encountered

Severity: Warning

Message: implode(): Invalid arguments passed

Filename: models/motif_model.php

Line Number: 84

A PHP Error was encountered

Severity: Warning

Message: implode(): Invalid arguments passed

Filename: models/motif_model.php

Line Number: 84

>HL_00186.1 "4IOA|1|X|G|1975","4IOA|1|X|U|1976","4IOA|1|X|C|1977","4IOA|1|X|U|1978","4IOA|1|X|C|1979" "4Y4O|1|2A|G|1992","4Y4O|1|2A|U|1993","4Y4O|1|2A|C|1994","4Y4O|1|2A|U|1995","4Y4O|1|2A|C|1996" >HL_00996.1 "5TBW|1|1|G|763","5TBW|1|1|U|764","5TBW|1|1|U|766","5TBW|1|1|U|767","5TBW|1|1|C|768" >HL_01008.3 "5MSF|1|R|G|7||||P_1","5MSF|1|R|A|8||||P_1","5MSF|1|R|C|10||||P_1","5MSF|1|R|C|12||||P_1" "5MSF|1|R|G|7||||P_P","5MSF|1|R|A|8||||P_P","5MSF|1|R|C|10||||P_P","5MSF|1|R|C|12||||P_P" "1U1Y|1|R|G|7||||P_P","1U1Y|1|R|A|8||||P_P","1U1Y|1|R|C|10||||P_P","1U1Y|1|R|C|12||||P_P" "1U1Y|1|R|G|7||||P_1","1U1Y|1|R|A|8||||P_1","1U1Y|1|R|C|10||||P_1","1U1Y|1|R|C|12||||P_1" "2IZN|1|R|G|8||||P_P","2IZN|1|R|A|9||||P_P","2IZN|1|R|U|11||||P_P","2IZN|1|R|C|13||||P_P" "2IZN|1|R|G|8||||P_1","2IZN|1|R|A|9||||P_1","2IZN|1|R|U|11||||P_1","2IZN|1|R|C|13||||P_1" "7MSF|1|R|C|5||||P_P","7MSF|1|R|A|6||||P_P","7MSF|1|R|C|8||||P_P","7MSF|1|R|G|10||||P_P" "7MSF|1|R|C|5||||P_1","7MSF|1|R|A|6||||P_1","7MSF|1|R|C|8||||P_1","7MSF|1|R|G|10||||P_1" "4V88|1|A6|U|450","4V88|1|A6|A|451","4V88|1|A6|U|453","4V88|1|A6|A|456" >HL_01181.1 "1L2X|1|A|G|7","1L2X|1|A|C|8","1L2X|1|A|A|9","1L2X|1|A|C|10","1L2X|1|A|C|11","1L2X|1|A|G|12","1L2X|1|A|C|14" "2A43|1|A|G|6","2A43|1|A|C|7","2A43|1|A|A|8","2A43|1|A|C|9","2A43|1|A|C|10","2A43|1|A|G|11","2A43|1|A|C|13" >HL_01187.1 "5BTP|1|B|G|28","5BTP|1|B|A|29","5BTP|1|B|A|30","5BTP|1|B|U|31","5BTP|1|B|A|33","5BTP|1|B|A|34","5BTP|1|B|C|35" >HL_01472.1 "5J7L|1|AA|G|1134","5J7L|1|AA|U|1135","5J7L|1|AA|C|1137","5J7L|1|AA|G|1138","5J7L|1|AA|G|1139","5J7L|1|AA|C|1140" "4LFB|1|A|G|1134","4LFB|1|A|U|1135","4LFB|1|A|C|1137","4LFB|1|A|G|1138","4LFB|1|A|G|1139","4LFB|1|A|C|1140" "4V9F|1|0|C|136","4V9F|1|0|U|137","4V9F|1|0|C|139","4V9F|1|0|G|140","4V9F|1|0|C|141","4V9F|1|0|G|142" >HL_01925.1 "5V3F|1|A|C|4","5V3F|1|A|G|5","5V3F|1|A|A|6","5V3F|1|A|A|7","5V3F|1|A|G|8","5V3F|1|A|G|9","5V3F|1|A|G|10","5V3F|1|A|G|13","5V3F|1|A|G|14","5V3F|1|A|G|16","5V3F|1|A|G|18","5V3F|1|A|G|19","5V3F|1|A|G|21","5V3F|1|A|A|22","5V3F|1|A|G|23","5V3F|1|A|G|24","5V3F|1|A|G|26","5V3F|1|A|A|27","5V3F|1|A|G|28" >HL_02255.1 "3AL0|1|E|U|13","3AL0|1|E|A|14","3AL0|1|E|A|15","3AL0|1|E|A|21","3AL0|1|E|G|22" "5B63|1|D|C|913","5B63|1|D|A|914","5B63|1|D|A|915","5B63|1|D|A|922","5B63|1|D|G|923" >HL_02361.2 "5O7H|1|A|C|32","5O7H|1|A|G|33","5O7H|1|A|C|34","5O7H|1|A|A|35","5O7H|1|A|A|37","5O7H|1|A|G|38" "4Y4O|1|2A|C|641","4Y4O|1|2A|G|642","4Y4O|1|2A|A|643","4Y4O|1|2A|A|644","4Y4O|1|2A|A|646","4Y4O|1|2A|G|647" "5J7L|1|DA|A|1630","5J7L|1|DA|G|1631","5J7L|1|DA|A|1632","5J7L|1|DA|G|1633","5J7L|1|DA|A|1635","5J7L|1|DA|U|1636" "2XLK|1|C|C|10","2XLK|1|C|G|11","2XLK|1|C|U|12","2XLK|1|C|A|13","2XLK|1|C|A|15","2XLK|1|C|G|16" "5LM7|1|G|U|21","5LM7|1|G|G|22","5LM7|1|G|A|23","5LM7|1|G|A|24","5LM7|1|G|A|26","5LM7|1|G|A|27" "1XJR|1|A|G|21","1XJR|1|A|G|22","1XJR|1|A|A|23","1XJR|1|A|G|24","1XJR|1|A|A|26","1XJR|1|A|C|27" "4AL5|1|B|C|10","4AL5|1|B|G|11","4AL5|1|B|U|12","4AL5|1|B|A|13","4AL5|1|B|A|15","4AL5|1|B|G|16" "4WF9|1|X|U|686","4WF9|1|X|G|687","4WF9|1|X|A|688","4WF9|1|X|A|689","4WF9|1|X|A|691","4WF9|1|X|G|692" "5TBW|1|1|U|1862","5TBW|1|1|G|1863","5TBW|1|1|A|1864","5TBW|1|1|A|1865","5TBW|1|1|A|1867","5TBW|1|1|G|1868" "4V9F|1|0|U|493","4V9F|1|0|C|494","4V9F|1|0|A|495","4V9F|1|0|G|496","4V9F|1|0|A|498","4V9F|1|0|G|499" "3D0U|1|A|G|121","3D0U|1|A|G|122","3D0U|1|A|A|123","3D0U|1|A|A|124","3D0U|1|A|A|126","3D0U|1|A|C|127" "4IOA|1|X|C|1797","4IOA|1|X|G|1798","4IOA|1|X|A|1799","4IOA|1|X|A|1800","4IOA|1|X|A|1802","4IOA|1|X|G|1803" "5TBW|1|4|U|73","5TBW|1|4|U|74","5TBW|1|4|G|75","5TBW|1|4|C|76","5TBW|1|4|A|77","5TBW|1|4|G|78" "4IOA|1|X|C|133","4IOA|1|X|G|134","4IOA|1|X|U|135","4IOA|1|X|A|136","4IOA|1|X|A|137","4IOA|1|X|G|138" >HL_02483.1 "" >HL_02733.3 "5FDV|1|1B|C|38","5FDV|1|1B|A|39","5FDV|1|1B|U|40","5FDV|1|1B|C|42","5FDV|1|1B|C|43","5FDV|1|1B|G|44" "5J7L|1|DB|C|38","5J7L|1|DB|A|39","5J7L|1|DB|U|40","5J7L|1|DB|C|42","5J7L|1|DB|C|43","5J7L|1|DB|G|44" "1MJI|1|D|C|38","1MJI|1|D|A|39","1MJI|1|D|U|40","1MJI|1|D|C|42","1MJI|1|D|C|43","1MJI|1|D|G|44" "4V9F|1|9|C|37","4V9F|1|9|A|38","4V9F|1|9|U|39","4V9F|1|9|C|41","4V9F|1|9|C|42","4V9F|1|9|G|43" "4IOA|1|Y|C|40","4IOA|1|Y|A|41","4IOA|1|Y|U|42","4IOA|1|Y|C|44","4IOA|1|Y|C|45","4IOA|1|Y|G|46" "4WF9|1|Y|C|36","4WF9|1|Y|A|37","4WF9|1|Y|U|38","4WF9|1|Y|C|40","4WF9|1|Y|C|41","4WF9|1|Y|G|42" "5TBW|1|AS|C|36","5TBW|1|AS|G|37","5TBW|1|AS|U|38","5TBW|1|AS|C|39","5TBW|1|AS|C|40","5TBW|1|AS|G|41" >HL_02811.1 "" >HL_02932.1 "4IOA|1|X|C|85","4IOA|1|X|U|86","4IOA|1|X|G|87","4IOA|1|X|G|88","4IOA|1|X|A|89","4IOA|1|X|G|90","4IOA|1|X|A|91","4IOA|1|X|U|92","4IOA|1|X|A|93","4IOA|1|X|C|94","4IOA|1|X|G|95" >HL_03427.1 "2CSX|1|C|U|31","2CSX|1|C|C|32","2CSX|1|C|U|33","2CSX|1|C|C|34","2CSX|1|C|A|35","2CSX|1|C|A|38","2CSX|1|C|G|39" >HL_03603.1 "4V88|1|A6|A|829","4V88|1|A6|U|830","4V88|1|A6|U|831","4V88|1|A6|U|832","4V88|1|A6|U|833","4V88|1|A6|G|834","4V88|1|A6|U|835","4V88|1|A6|U|836","4V88|1|A6|G|837","4V88|1|A6|G|838","4V88|1|A6|U|839","4V88|1|A6|U|840","4V88|1|A6|U|841","4V88|1|A6|C|842","4V88|1|A6|U|843" >HL_03847.1 "4RZD|1|A|G|63","4RZD|1|A|C|64","4RZD|1|A|U|65","4RZD|1|A|G|66","4RZD|1|A|G|67","4RZD|1|A|C|68","4RZD|1|A|G|69","4RZD|1|A|G|70","4RZD|1|A|U|71","4RZD|1|A|C|72" >HL_04171.2 "5SWD|1|B|A|30","5SWD|1|B|U|31","5SWD|1|B|G|32","5SWD|1|B|A|33","5SWD|1|B|U|34","5SWD|1|B|A|35","5SWD|1|B|G|37","5SWD|1|B|G|38","5SWD|1|B|U|39","5SWD|1|B|U|40" "3LA5|1|A|A|30","3LA5|1|A|U|31","3LA5|1|A|G|32","3LA5|1|A|A|33","3LA5|1|A|U|34","3LA5|1|A|A|35","3LA5|1|A|G|37","3LA5|1|A|G|38","3LA5|1|A|U|39","3LA5|1|A|U|40" "4LX6|1|A|A|30","4LX6|1|A|U|31","4LX6|1|A|G|32","4LX6|1|A|A|33","4LX6|1|A|U|34","4LX6|1|A|A|35","4LX6|1|A|G|37","4LX6|1|A|G|38","4LX6|1|A|U|39","4LX6|1|A|U|40" "1Y26|1|X|A|30","1Y26|1|X|U|31","1Y26|1|X|G|32","1Y26|1|X|A|33","1Y26|1|X|U|34","1Y26|1|X|A|35","1Y26|1|X|G|37","1Y26|1|X|G|38","1Y26|1|X|U|39","1Y26|1|X|U|40" "3IVN|1|A|A|21","3IVN|1|A|U|22","3IVN|1|A|G|23","3IVN|1|A|A|24","3IVN|1|A|U|25","3IVN|1|A|A|26","3IVN|1|A|G|28","3IVN|1|A|G|29","3IVN|1|A|U|30","3IVN|1|A|U|31" "4ATO|1|G|U|15||||3_445","4ATO|1|G|U|16||||3_445","4ATO|1|G|A|17||||3_445","4ATO|1|G|C|18||||3_445","4ATO|1|G|C|19||||3_445","4ATO|1|G|G|20||||3_445","4ATO|1|G|A|22||||3_445","4ATO|1|G|G|23||||3_445","4ATO|1|G|U|24||||3_445","4ATO|1|G|A|25||||3_445" "4ATO|1|G|U|15","4ATO|1|G|U|16","4ATO|1|G|A|17","4ATO|1|G|C|18","4ATO|1|G|C|19","4ATO|1|G|G|20","4ATO|1|G|A|22","4ATO|1|G|G|23","4ATO|1|G|U|24","4ATO|1|G|A|25" "4ATO|1|G|U|15||||2_455","4ATO|1|G|U|16||||2_455","4ATO|1|G|A|17||||2_455","4ATO|1|G|C|18||||2_455","4ATO|1|G|C|19||||2_455","4ATO|1|G|G|20||||2_455","4ATO|1|G|A|22||||2_455","4ATO|1|G|G|23||||2_455","4ATO|1|G|U|24||||2_455","4ATO|1|G|A|25||||2_455" "2D6F|1|F|G|912","2D6F|1|F|U|913","2D6F|1|F|A|914","2D6F|1|F|G|915","2D6F|1|F|U|916","2D6F|1|F|G|917","2D6F|1|F|A|920","2D6F|1|F|A|921","2D6F|1|F|U|922","2D6F|1|F|C|923" >HL_05018.3 "4IOA|1|X|G|2794","4IOA|1|X|A|2796","4IOA|1|X|G|2797","4IOA|1|X|A|2798","4IOA|1|X|C|2799","4IOA|1|X|C|2800","4IOA|1|X|A|2801","4IOA|1|X|C|2802" "4WF9|1|X|A|2839","4WF9|1|X|A|2841","4WF9|1|X|G|2842","4WF9|1|X|A|2843","4WF9|1|X|U|2844","4WF9|1|X|G|2845","4WF9|1|X|A|2846","4WF9|1|X|U|2847" "4Y4O|1|2A|G|2819","4Y4O|1|2A|A|2821","4Y4O|1|2A|G|2822","4Y4O|1|2A|A|2823","4Y4O|1|2A|C|2824","4Y4O|1|2A|C|2825","4Y4O|1|2A|A|2826","4Y4O|1|2A|C|2827" "5J7L|1|DA|G|2819","5J7L|1|DA|A|2821","5J7L|1|DA|G|2822","5J7L|1|DA|A|2823","5J7L|1|DA|C|2824","5J7L|1|DA|G|2825","5J7L|1|DA|A|2826","5J7L|1|DA|C|2827" "4V9F|1|0|G|2836","4V9F|1|0|A|2838","4V9F|1|0|C|2839","4V9F|1|0|A|2840","4V9F|1|0|A|2841","4V9F|1|0|G|2842","4V9F|1|0|A|2843","4V9F|1|0|C|2844" "5TBW|1|1|G|3303","5TBW|1|1|A|3305","5TBW|1|1|U|3306","5TBW|1|1|A|3307","5TBW|1|1|C|3308","5TBW|1|1|G|3309","5TBW|1|1|A|3310","5TBW|1|1|C|3311" >HL_05123.1 "3SUX|1|X|A|38","3SUX|1|X|C|39","3SUX|1|X|G|41","3SUX|1|X|G|42","3SUX|1|X|G|43","3SUX|1|X|A|44","3SUX|1|X|G|45","3SUX|1|X|U|46","3SUX|1|X|U|47" "3SUX|1|X|A|38||||17_555","3SUX|1|X|C|39||||17_555","3SUX|1|X|G|41||||17_555","3SUX|1|X|G|42||||17_555","3SUX|1|X|G|43||||17_555","3SUX|1|X|A|44||||17_555","3SUX|1|X|G|45||||17_555","3SUX|1|X|U|46||||17_555","3SUX|1|X|U|47||||17_555" "3D0U|1|A|U|90","3D0U|1|A|U|91","3D0U|1|A|C|92","3D0U|1|A|C|93","3D0U|1|A|U|94","3D0U|1|A|C|95","3D0U|1|A|C|96","3D0U|1|A|C|97","3D0U|1|A|G|98" "3DIL|1|A|U|93","3DIL|1|A|U|94","3DIL|1|A|C|95","3DIL|1|A|C|96","3DIL|1|A|U|97","3DIL|1|A|C|98","3DIL|1|A|C|99","3DIL|1|A|C|100","3DIL|1|A|G|101" >HL_05607.1 "1OOA|1|C|U|13","1OOA|1|C|G|14","1OOA|1|C|U|15","1OOA|1|C|A|17","1OOA|1|C|G|18" "2Y9H|1|J|A|10","2Y9H|1|J|C|11","2Y9H|1|J|G|12","2Y9H|1|J|G|16","2Y9H|1|J|U|17" >HL_05667.1 "5TBW|1|1|G|1953","5TBW|1|1|A|2093","5TBW|1|1|C|2094" >HL_05692.1 "1WZ2|1|D|C|950","1WZ2|1|D|G|951","1WZ2|1|D|G|955" >HL_05832.1 "5TBW|1|1|G|910","5TBW|1|1|C|911","5TBW|1|1|G|912","5TBW|1|1|A|914","5TBW|1|1|A|915","5TBW|1|1|A|917","5TBW|1|1|C|918" >HL_06048.1 "5W1H|1|B|C|-19","5W1H|1|B|A|-18","5W1H|1|B|A|-17","5W1H|1|B|G|-16","5W1H|1|B|A|-15","5W1H|1|B|A|-13","5W1H|1|B|G|-12","5W1H|1|B|A|-11","5W1H|1|B|G|-10" "5WLH|1|B|C|-19","5WLH|1|B|A|-18","5WLH|1|B|A|-17","5WLH|1|B|G|-16","5WLH|1|B|A|-15","5WLH|1|B|A|-13","5WLH|1|B|G|-12","5WLH|1|B|A|-11","5WLH|1|B|G|-10" >HL_06097.1 "3IWN|1|A|C|57","3IWN|1|A|A|58","3IWN|1|A|U|59","3IWN|1|A|U|60","3IWN|1|A|G|61","3IWN|1|A|A|63","3IWN|1|A|C|64","3IWN|1|A|U|65","3IWN|1|A|C|66","3IWN|1|A|C|67","3IWN|1|A|G|68" "6F4G|1|C|U|8","6F4G|1|C|A|9","6F4G|1|C|U|10","6F4G|1|C|U|11","6F4G|1|C|G|12","6F4G|1|C|G|15","6F4G|1|C|U|16","6F4G|1|C|A|17","6F4G|1|C|C|18","6F4G|1|C|C|19","6F4G|1|C|G|20" >HL_06137.1 "5DE8|1|A|U|8","5DE8|1|A|G|9","5DE8|1|A|G|11","5DE8|1|A|G|12","5DE8|1|A|G|15","5DE8|1|A|G|16","5DE8|1|A|A|17","5DE8|1|A|G|18","5DE8|1|A|G|20","5DE8|1|A|G|21","5DE8|1|A|U|23","5DE8|1|A|G|24","5DE8|1|A|G|25","5DE8|1|A|G|26","5DE8|1|A|U|28","5DE8|1|A|G|29" >HL_06228.1 "1WZ2|1|D|A|934","1WZ2|1|D|C|937","1WZ2|1|D|A|938","1WZ2|1|D|A|939","1WZ2|1|D|G|940","1WZ2|1|D|A|941","1WZ2|1|D|U|942" >HL_06483.1 "1QTQ|1|B|C|912","1QTQ|1|B|A|913","1QTQ|1|B|A|914","1QTQ|1|B|G|915","1QTQ|1|B|G|919","1QTQ|1|B|U|920","1QTQ|1|B|A|921","1QTQ|1|B|A|922","1QTQ|1|B|G|923" "4JXZ|1|B|C|912","4JXZ|1|B|A|913","4JXZ|1|B|A|914","4JXZ|1|B|G|915","4JXZ|1|B|G|919","4JXZ|1|B|U|920","4JXZ|1|B|A|921","4JXZ|1|B|A|922","4JXZ|1|B|G|923" >HL_06645.1 "3OIN|1|C|C|4","3OIN|1|C|U|5","3OIN|1|C|A|8","3OIN|1|C|A|9","3OIN|1|C|C|10","3OIN|1|C|G|11" >HL_07268.1 "4V88|1|A6|C|70","4V88|1|A6|A|71","4V88|1|A6|A|72","4V88|1|A6|U|73","4V88|1|A6|U|74","4V88|1|A6|U|75","4V88|1|A6|A|76","4V88|1|A6|A|78","4V88|1|A6|C|79","4V88|1|A6|A|80","4V88|1|A6|G|81" >HL_07432.1 "4GCW|1|B|C|11","4GCW|1|B|U|12","4GCW|1|B|C|13","4GCW|1|B|A|14","4GCW|1|B|G|15","4GCW|1|B|G|19","4GCW|1|B|U|20","4GCW|1|B|A|21","4GCW|1|B|G|22","4GCW|1|B|A|23","4GCW|1|B|G|24" "4GCW|1|B|C|11||||2_556","4GCW|1|B|U|12||||2_556","4GCW|1|B|C|13||||2_556","4GCW|1|B|A|14||||2_556","4GCW|1|B|G|15||||2_556","4GCW|1|B|G|19||||2_556","4GCW|1|B|U|20||||2_556","4GCW|1|B|A|21||||2_556","4GCW|1|B|G|22||||2_556","4GCW|1|B|A|23||||2_556","4GCW|1|B|G|24||||2_556" >HL_07579.1 "5D5L|1|A|U|28","5D5L|1|A|A|29","5D5L|1|A|C|30","5D5L|1|A|U|31","5D5L|1|A|U|32","5D5L|1|A|U|35","5D5L|1|A|U|36","5D5L|1|A|C|37","5D5L|1|A|C|38","5D5L|1|A|U|39","5D5L|1|A|U|40","5D5L|1|A|U|41","5D5L|1|A|G|42","5D5L|1|A|A|43" "4JF2|1|A|U|28","4JF2|1|A|A|29","4JF2|1|A|C|30","4JF2|1|A|U|31","4JF2|1|A|U|32","4JF2|1|A|U|35","4JF2|1|A|U|36","4JF2|1|A|C|37","4JF2|1|A|C|38","4JF2|1|A|U|39","4JF2|1|A|U|40","4JF2|1|A|U|41","4JF2|1|A|G|42","4JF2|1|A|A|43" >HL_08002.4 "5C45|1|X|G|36","5C45|1|X|U|37","5C45|1|X|A|40","5C45|1|X|G|41","5C45|1|X|U|42","5C45|1|X|C|43" "3F2X|1|X|G|36","3F2X|1|X|U|37","3F2X|1|X|A|40","3F2X|1|X|G|41","3F2X|1|X|U|42","3F2X|1|X|C|43" "6DN2|1|X|G|36","6DN2|1|X|U|37","6DN2|1|X|A|40","6DN2|1|X|G|41","6DN2|1|X|U|42","6DN2|1|X|C|43" "5C45|1|Y|G|88","5C45|1|Y|U|89","5C45|1|Y|A|92","5C45|1|Y|G|93","5C45|1|Y|U|94","5C45|1|Y|C|95" "6DN2|1|Y|G|88","6DN2|1|Y|U|89","6DN2|1|Y|A|92","6DN2|1|Y|G|93","6DN2|1|Y|U|94","6DN2|1|Y|C|95" "4FRG|1|B|G|58","4FRG|1|B|U|59","4FRG|1|B|A|63","4FRG|1|B|C|64","4FRG|1|B|U|65","4FRG|1|B|C|66" "3F2X|1|X|G|88","3F2X|1|X|U|89","3F2X|1|X|A|92","3F2X|1|X|G|93","3F2X|1|X|U|94","3F2X|1|X|C|95" "4FRN|1|B|G|56","4FRN|1|B|U|57","4FRN|1|B|A|61","4FRN|1|B|C|62","4FRN|1|B|U|63","4FRN|1|B|C|64" "5J7L|1|DA|G|327","5J7L|1|DA|U|328","5J7L|1|DA|A|332","5J7L|1|DA|G|333","5J7L|1|DA|C|334","5J7L|1|DA|C|335" "4Y4O|1|2A|G|327","4Y4O|1|2A|U|328","4Y4O|1|2A|A|332","4Y4O|1|2A|G|333","4Y4O|1|2A|C|334","4Y4O|1|2A|C|335" "4V9F|1|0|G|334","4V9F|1|0|U|335","4V9F|1|0|A|339","4V9F|1|0|A|340","4V9F|1|0|C|341","4V9F|1|0|C|342" "5TBW|1|1|G|216","5TBW|1|1|U|217","5TBW|1|1|A|221","5TBW|1|1|A|222","5TBW|1|1|U|223","5TBW|1|1|C|224" "4WF9|1|X|G|370","4WF9|1|X|U|371","4WF9|1|X|A|375","4WF9|1|X|A|376","4WF9|1|X|U|377","4WF9|1|X|C|378" "4IOA|1|X|G|338","4IOA|1|X|U|339","4IOA|1|X|A|343","4IOA|1|X|G|344","4IOA|1|X|U|345","4IOA|1|X|C|346" >HL_08291.1 "4RMO|1|B|C|11","4RMO|1|B|U|12","4RMO|1|B|A|14","4RMO|1|B|C|15","4RMO|1|B|C|16","4RMO|1|B|G|17","4RMO|1|B|A|18","4RMO|1|B|U|19","4RMO|1|B|A|20","4RMO|1|B|U|21","4RMO|1|B|G|22" >HL_08510.1 "" "" >HL_08602.1 "" >HL_09712.1 "2W2H|1|R|U|10","2W2H|1|R|C|12","2W2H|1|R|U|13","2W2H|1|R|G|14","2W2H|1|R|C|15","2W2H|1|R|G|16","2W2H|1|R|G|17" >HL_10536.1 "4V9F|1|0|G|390","4V9F|1|0|U|391","4V9F|1|0|U|392","4V9F|1|0|G|393","4V9F|1|0|G|394","4V9F|1|0|A|395","4V9F|1|0|U|396","4V9F|1|0|A|397","4V9F|1|0|U|398","4V9F|1|0|C|399" >HL_10751.2 "1J1U|1|B|C|513||||4_556","1J1U|1|B|A|514||||4_556","1J1U|1|B|G|515||||4_556","1J1U|1|B|C|516||||4_556","1J1U|1|B|C|517||||4_556","1J1U|1|B|U|518||||4_556","1J1U|1|B|A|522||||4_556","1J1U|1|B|G|523||||4_556" "1J1U|1|B|C|513","1J1U|1|B|A|514","1J1U|1|B|G|515","1J1U|1|B|C|516","1J1U|1|B|C|517","1J1U|1|B|U|518","1J1U|1|B|A|522","1J1U|1|B|G|523" "1H4S|1|T|C|13","1H4S|1|T|A|14","1H4S|1|T|G|15","1H4S|1|T|C|16","1H4S|1|T|C|17","1H4S|1|T|C|17|||A","1H4S|1|T|A|21","1H4S|1|T|G|22" "6CFJ|1|1x|C|13","6CFJ|1|1x|A|14","6CFJ|1|1x|G|15","6CFJ|1|1x|C|16","6CFJ|1|1x|C|17","6CFJ|1|1x|U|17|||A","6CFJ|1|1x|A|21","6CFJ|1|1x|G|22" "4V9Q|1|DV|C|13","4V9Q|1|DV|A|14","4V9Q|1|DV|G|15","4V9Q|1|DV|C|16","4V9Q|1|DV|C|17","4V9Q|1|DV|U|17|||A","4V9Q|1|DV|A|21","4V9Q|1|DV|G|22" "2ZUE|1|B|U|913","2ZUE|1|B|A|914","2ZUE|1|B|G|915","2ZUE|1|B|C|916","2ZUE|1|B|C|917","2ZUE|1|B|A|917|||A","2ZUE|1|B|A|921","2ZUE|1|B|G|922" "4WT8|1|D4|C|13","4WT8|1|D4|A|14","4WT8|1|D4|G|15","4WT8|1|D4|C|16","4WT8|1|D4|C|17","4WT8|1|D4|U|18","4WT8|1|D4|A|22","4WT8|1|D4|G|23" >HL_10988.1 "5NFV|1|B|C|-10","5NFV|1|B|U|-9","5NFV|1|B|G|-8","5NFV|1|B|G|-5" "6I1L|1|E|C|-10","6I1L|1|E|U|-9","6I1L|1|E|G|-8","6I1L|1|E|G|-5" >HL_11805.1 "4Y4O|1|2A|G|2303","4Y4O|1|2A|G|2304","4Y4O|1|2A|A|2305","4Y4O|1|2A|C|2306","4Y4O|1|2A|G|2307","4Y4O|1|2A|G|2308","4Y4O|1|2A|A|2309","4Y4O|1|2A|A|2310","4Y4O|1|2A|A|2311","4Y4O|1|2A|U|2312","4Y4O|1|2A|C|2313" "5J7L|1|DA|G|2303","5J7L|1|DA|G|2304","5J7L|1|DA|U|2305","5J7L|1|DA|C|2306","5J7L|1|DA|G|2307","5J7L|1|DA|G|2308","5J7L|1|DA|A|2309","5J7L|1|DA|C|2310","5J7L|1|DA|A|2311","5J7L|1|DA|U|2312","5J7L|1|DA|C|2313" >HL_12053.1 "4V9F|1|0|C|195","4V9F|1|0|G|196","4V9F|1|0|A|198","4V9F|1|0|A|199","4V9F|1|0|G|201" >HL_12571.1 "1WZ2|1|D|C|912","1WZ2|1|D|G|913","1WZ2|1|D|A|914","1WZ2|1|D|G|915","1WZ2|1|D|C|916","1WZ2|1|D|C|917","1WZ2|1|D|U|918","1WZ2|1|D|G|920","1WZ2|1|D|U|921","1WZ2|1|D|C|922","1WZ2|1|D|A|924","1WZ2|1|D|A|925","1WZ2|1|D|G|926" "2ZZM|1|B|C|12","2ZZM|1|B|A|13","2ZZM|1|B|A|14","2ZZM|1|B|G|15","2ZZM|1|B|C|16","2ZZM|1|B|C|17","2ZZM|1|B|U|17|||A","2ZZM|1|B|G|19","2ZZM|1|B|C|20","2ZZM|1|B|C|20|||A","2ZZM|1|B|A|21","2ZZM|1|B|A|22","2ZZM|1|B|G|23" >HL_12703.1 "1HMH|1|A|G|101","1HMH|1|A|G|102","1HMH|1|A|C|103","1HMH|1|A|C|104","1HMH|1|A|C|111" "1HMH|1|E|G|101","1HMH|1|E|G|102","1HMH|1|E|C|103","1HMH|1|E|C|104","1HMH|1|E|C|111" >HL_12710.1 "5J7L|1|DA|G|1087","5J7L|1|DA|A|1089","5J7L|1|DA|A|1090","5J7L|1|DA|G|1091","5J7L|1|DA|C|1092","5J7L|1|DA|G|1093","5J7L|1|DA|U|1094","5J7L|1|DA|A|1095","5J7L|1|DA|A|1096","5J7L|1|DA|U|1097","5J7L|1|DA|A|1098","5J7L|1|DA|G|1099","5J7L|1|DA|C|1100","5J7L|1|DA|U|1101","5J7L|1|DA|C|1102" >HL_12773.1 "1A9N|1|Q|G|4","1A9N|1|Q|U|5","1A9N|1|Q|A|6","1A9N|1|Q|U|7","1A9N|1|Q|U|8","1A9N|1|Q|G|9","1A9N|1|Q|A|11","1A9N|1|Q|G|12","1A9N|1|Q|A|14","1A9N|1|Q|C|15","1A9N|1|Q|C|16","1A9N|1|Q|U|17","1A9N|1|Q|C|18" >HL_12870.1 "" >HL_14286.1 "4Y4O|1|2A|C|2205","4Y4O|1|2A|G|2206","4Y4O|1|2A|G|2207","4Y4O|1|2A|A|2208","4Y4O|1|2A|G|2219" >HL_14612.3 "4IOA|1|X|C|2227","4IOA|1|X|U|2228","4IOA|1|X|G|2230","4IOA|1|X|G|2231","4IOA|1|X|G|2232","4IOA|1|X|C|2233","4IOA|1|X|G|2234","4IOA|1|X|G|2235" "4WF9|1|X|C|2275","4WF9|1|X|U|2276","4WF9|1|X|G|2278","4WF9|1|X|G|2279","4WF9|1|X|G|2280","4WF9|1|X|C|2281","4WF9|1|X|G|2282","4WF9|1|X|G|2283" "4V9F|1|0|C|2281","4V9F|1|0|U|2282","4V9F|1|0|G|2284","4V9F|1|0|G|2285","4V9F|1|0|G|2286","4V9F|1|0|C|2287","4V9F|1|0|G|2288","4V9F|1|0|G|2289" "5TBW|1|1|C|2616","5TBW|1|1|U|2617","5TBW|1|1|G|2619","5TBW|1|1|G|2620","5TBW|1|1|G|2621","5TBW|1|1|C|2622","5TBW|1|1|G|2623","5TBW|1|1|G|2624" "4V84|1|AV|U|6185","4V84|1|AV|U|6186","4V84|1|AV|A|6187","4V84|1|AV|G|6188","4V84|1|AV|G|6189","4V84|1|AV|U|6190","4V84|1|AV|A|6191","4V84|1|AV|G|6192" "3R4F|1|A|A|51||||15_545","3R4F|1|A|U|52||||15_545","3R4F|1|A|G|53||||15_545","3R4F|1|A|G|54||||15_545","3R4F|1|A|U|55||||15_545","3R4F|1|A|U|56||||15_545","3R4F|1|A|G|57||||15_545","3R4F|1|A|U|58||||15_545" "3R4F|1|A|A|51","3R4F|1|A|U|52","3R4F|1|A|G|53","3R4F|1|A|G|54","3R4F|1|A|U|55","3R4F|1|A|U|56","3R4F|1|A|G|57","3R4F|1|A|U|58" "3RKF|1|C|G|46","3RKF|1|C|U|47","3RKF|1|C|U|48","3RKF|1|C|U|49","3RKF|1|C|C|50","3RKF|1|C|U|51","3RKF|1|C|A|52","3RKF|1|C|C|53" >HL_14725.1 "5TBW|1|1|U|2724","5TBW|1|1|U|2725","5TBW|1|1|C|2726","5TBW|1|1|A|2727","5TBW|1|1|G|2728","5TBW|1|1|U|2729","5TBW|1|1|G|2730","5TBW|1|1|U|2731","5TBW|1|1|G|2732" >HL_14859.1 "4KR9|1|X|G|19","4KR9|1|X|G|20","4KR9|1|X|A|21","4KR9|1|X|A|22","4KR9|1|X|A|23","4KR9|1|X|C|24" >HL_15942.3 "5J7L|1|DA|U|826","5J7L|1|DA|U|828","5J7L|1|DA|A|829","5J7L|1|DA|G|831" "4WF9|1|X|U|871","4WF9|1|X|U|873","4WF9|1|X|A|874","4WF9|1|X|G|876" "4Y4O|1|2A|U|826","4Y4O|1|2A|U|828","4Y4O|1|2A|A|829","4Y4O|1|2A|G|831" "5TBW|1|1|C|958","5TBW|1|1|U|960","5TBW|1|1|C|961","5TBW|1|1|G|963" "4IOA|1|X|U|839","4IOA|1|X|G|841","4IOA|1|X|A|842","4IOA|1|X|G|844" "4V9F|1|0|U|919","4V9F|1|0|G|921","4V9F|1|0|A|922","4V9F|1|0|G|924" >HL_15968.1 "5CCB|1|N|C|13","5CCB|1|N|A|14","5CCB|1|N|G|15","5CCB|1|N|C|17","5CCB|1|N|G|19","5CCB|1|N|U|20","5CCB|1|N|A|21","5CCB|1|N|G|22" "5CCB|1|N|C|13||||7_555","5CCB|1|N|A|14||||7_555","5CCB|1|N|G|15||||7_555","5CCB|1|N|C|17||||7_555","5CCB|1|N|G|19||||7_555","5CCB|1|N|U|20||||7_555","5CCB|1|N|A|21||||7_555","5CCB|1|N|G|22||||7_555" >HL_16827.1 "5J7L|1|DA|G|543","5J7L|1|DA|C|544","5J7L|1|DA|U|545","5J7L|1|DA|A|547","5J7L|1|DA|G|548","5J7L|1|DA|C|550" >HL_16839.1 "4RDX|1|C|C|13","4RDX|1|C|A|14","4RDX|1|C|G|15","4RDX|1|C|G|19","4RDX|1|C|U|20","4RDX|1|C|A|21","4RDX|1|C|G|22" "4RDX|1|C|C|13||||4_577","4RDX|1|C|A|14||||4_577","4RDX|1|C|G|15||||4_577","4RDX|1|C|G|19||||4_577","4RDX|1|C|U|20||||4_577","4RDX|1|C|A|21||||4_577","4RDX|1|C|G|22||||4_577" "3WQY|1|C|C|1613","3WQY|1|C|A|1614","3WQY|1|C|G|1615","3WQY|1|C|G|1618","3WQY|1|C|G|1619","3WQY|1|C|A|1621","3WQY|1|C|G|1622" "5WWT|1|C|C|13","5WWT|1|C|A|14","5WWT|1|C|G|15","5WWT|1|C|G|18","5WWT|1|C|G|19","5WWT|1|C|A|21","5WWT|1|C|G|22" "1GAX|1|D|C|913","1GAX|1|D|A|914","1GAX|1|D|G|915","1GAX|1|D|G|917","1GAX|1|D|G|918","1GAX|1|D|A|920","1GAX|1|D|G|921" >HL_16845.4 "4WF9|1|X|C|2236","4WF9|1|X|U|2237","4WF9|1|X|A|2239","4WF9|1|X|C|2241","4WF9|1|X|G|2242" "5J7L|1|DA|G|2209","5J7L|1|DA|U|2210","5J7L|1|DA|A|2212","5J7L|1|DA|C|2214","5J7L|1|DA|C|2215" "361D|1|A|G|86","361D|1|A|G|87","361D|1|A|C|88","361D|1|A|A|89|||A","361D|1|A|C|90" >HL_17086.2 "4V88|1|A6|A|520","4V88|1|A6|A|521","4V88|1|A6|U|522","4V88|1|A6|G|523","4V88|1|A6|U|524","4V88|1|A6|A|525","4V88|1|A6|A|526","4V88|1|A6|A|527","4V88|1|A6|U|528","4V88|1|A6|A|529","4V88|1|A6|C|530","4V88|1|A6|C|531","4V88|1|A6|U|532" "4WFL|1|A|G|19","4WFL|1|A|A|20","4WFL|1|A|G|21","4WFL|1|A|G|22","4WFL|1|A|U|23","4WFL|1|A|A|24","4WFL|1|A|G|25","4WFL|1|A|C|26","4WFL|1|A|G|27","4WFL|1|A|G|28","4WFL|1|A|U|29","4WFL|1|A|G|30","4WFL|1|A|C|31" "6GSL|1|3L|C|30","6GSL|1|3L|G|31","6GSL|1|3L|G|32","6GSL|1|3L|C|33","6GSL|1|3L|U|34","6GSL|1|3L|A|35","6GSL|1|3L|C|36","6GSL|1|3L|G|37","6GSL|1|3L|A|38","6GSL|1|3L|A|39","6GSL|1|3L|C|40","6GSL|1|3L|C|41","6GSL|1|3L|G|42" >HL_17217.1 "5HR6|1|C|C|31","5HR6|1|C|C|32","5HR6|1|C|C|36","5HR6|1|C|C|38","5HR6|1|C|G|39" "4IOA|1|X|C|1549","4IOA|1|X|C|1550","4IOA|1|X|C|1552","4IOA|1|X|G|1553","4IOA|1|X|G|1554" >HL_17899.1 "3IVN|1|A|G|37","3IVN|1|A|U|38","3IVN|1|A|G|39","3IVN|1|A|U|40","3IVN|1|A|C|41","3IVN|1|A|U|42","3IVN|1|A|A|43","3IVN|1|A|C|44" >HL_17926.3 "4WF9|1|X|U|87","4WF9|1|X|G|88","4WF9|1|X|U|89","4WF9|1|X|A|90","4WF9|1|X|A|91","4WF9|1|X|G|92","4WF9|1|X|U|93","4WF9|1|X|A|94","4WF9|1|X|A|95" "4Y4O|1|2A|C|87","4Y4O|1|2A|G|88","4Y4O|1|2A|G|89","4Y4O|1|2A|U|90","4Y4O|1|2A|A|92","4Y4O|1|2A|G|93","4Y4O|1|2A|C|94","4Y4O|1|2A|G|94|||A","4Y4O|1|2A|G|95" "4QLM|1|A|U|72","4QLM|1|A|C|74","4QLM|1|A|U|75","4QLM|1|A|C|76","4QLM|1|A|C|77","4QLM|1|A|U|78","4QLM|1|A|U|79","4QLM|1|A|C|80","4QLM|1|A|G|81" "5J7L|1|DA|U|87","5J7L|1|DA|G|88","5J7L|1|DA|A|89","5J7L|1|DA|U|90","5J7L|1|DA|A|91","5J7L|1|DA|U|92","5J7L|1|DA|G|93","5J7L|1|DA|A|94","5J7L|1|DA|A|95" "5KTJ|1|A|G|33","5KTJ|1|A|C|34","5KTJ|1|A|U|35","5KTJ|1|A|C|36","5KTJ|1|A|A|37","5KTJ|1|A|A|38","5KTJ|1|A|A|39","5KTJ|1|A|G|40","5KTJ|1|A|C|41" "5K7D|1|A|G|33","5K7D|1|A|C|34","5K7D|1|A|C|35","5K7D|1|A|C|36","5K7D|1|A|U|37","5K7D|1|A|A|38","5K7D|1|A|A|39","5K7D|1|A|G|40","5K7D|1|A|C|41" >HL_17956.1 "3HJW|1|D|U|23","3HJW|1|D|G|24","3HJW|1|D|A|25","3HJW|1|D|A|28","3HJW|1|D|A|29","3HJW|1|D|G|31","3HJW|1|D|A|32","3HJW|1|D|G|33" >HL_18218.1 "361D|1|B|G|86","361D|1|B|G|87","361D|1|B|C|88","361D|1|B|G|89","361D|1|B|A|89|||A","361D|1|B|C|90" "5TBW|1|1|C|543","5TBW|1|1|C|544","5TBW|1|1|U|545","5TBW|1|1|C|546","5TBW|1|1|G|547","5TBW|1|1|G|548" "2ZZM|1|B|G|31","2ZZM|1|B|C|32","2ZZM|1|B|U|34","2ZZM|1|B|G|36","2ZZM|1|B|A|38","2ZZM|1|B|C|39" >HL_18368.4 "4LFB|1|A|C|190|||B","4LFB|1|A|C|190|||C","4LFB|1|A|U|190|||D","4LFB|1|A|G|190|||G" "6CMN|1|D|C|30","6CMN|1|D|U|31","6CMN|1|D|G|32","6CMN|1|D|G|34" "5AMQ|1|C|U|3","5AMQ|1|C|A|4","5AMQ|1|C|G|5","5AMQ|1|C|A|9" "1H4S|1|T|U|32","1H4S|1|T|U|33","1H4S|1|T|C|34","1H4S|1|T|A|38" >HL_18785.1 "4V88|1|A6|U|368","4V88|1|A6|A|370","4V88|1|A6|G|371","4V88|1|A6|G|372","4V88|1|A6|G|373" >HL_19162.2 "3HHN|1|E|G|1","3HHN|1|E|G|2","3HHN|1|E|A|3","3HHN|1|E|A|4","3HHN|1|E|C|5","3HHN|1|E|A|6","3HHN|1|E|C|7","3HHN|1|E|U|8","3HHN|1|E|A|9","3HHN|1|E|U|10","3HHN|1|E|A|11","3HHN|1|E|C|12" "3IVK|1|M|G|1","3IVK|1|M|G|2","3IVK|1|M|A|3","3IVK|1|M|A|4||A","3IVK|1|M|C|5||A","3IVK|1|M|A|6","3IVK|1|M|C|7","3IVK|1|M|U|8","3IVK|1|M|A|9","3IVK|1|M|U|10","3IVK|1|M|A|11","3IVK|1|M|C|12" "2A64|1|A|C|313","2A64|1|A|G|314","2A64|1|A|G|315","2A64|1|A|C|316","2A64|1|A|G|317","2A64|1|A|C|318","2A64|1|A|A|319","2A64|1|A|U|320","2A64|1|A|G|321","2A64|1|A|C|322","2A64|1|A|A|323","2A64|1|A|G|324" >HL_19337.2 "6FQL|1|B|U|5","6FQL|1|B|U|7","6FQL|1|B|A|8","6FQL|1|B|A|9" "6FQ3|1|B|U|5","6FQ3|1|B|C|7","6FQ3|1|B|A|8","6FQ3|1|B|A|9" "5TBW|1|1|G|2110","5TBW|1|1|U|2112","5TBW|1|1|A|2113","5TBW|1|1|C|2114" "4ILL|1|R|U|8","4ILL|1|R|C|10","4ILL|1|R|A|12","4ILL|1|R|A|14" "2PJP|1|B|G|22","2PJP|1|B|G|23","2PJP|1|B|U|24","2PJP|1|B|C|25" >HL_19870.3 "4Y4O|1|2A|C|2264","4Y4O|1|2A|U|2265","4Y4O|1|2A|A|2266","4Y4O|1|2A|A|2267","4Y4O|1|2A|A|2269","4Y4O|1|2A|G|2270","4Y4O|1|2A|G|2271","4Y4O|1|2A|U|2272","4Y4O|1|2A|A|2273","4Y4O|1|2A|A|2274","4Y4O|1|2A|G|2276" "5J7L|1|DA|C|2264","5J7L|1|DA|U|2265","5J7L|1|DA|A|2266","5J7L|1|DA|A|2267","5J7L|1|DA|G|2269","5J7L|1|DA|A|2270","5J7L|1|DA|G|2271","5J7L|1|DA|U|2272","5J7L|1|DA|A|2273","5J7L|1|DA|A|2274","5J7L|1|DA|G|2276" "4WF9|1|X|C|2291","4WF9|1|X|U|2292","4WF9|1|X|A|2293","4WF9|1|X|A|2294","4WF9|1|X|A|2296","4WF9|1|X|G|2297","4WF9|1|X|G|2298","4WF9|1|X|U|2299","4WF9|1|X|A|2300","4WF9|1|X|A|2301","4WF9|1|X|G|2303" "4IOA|1|X|C|2243","4IOA|1|X|C|2244","4IOA|1|X|A|2245","4IOA|1|X|A|2246","4IOA|1|X|A|2248","4IOA|1|X|U|2249","4IOA|1|X|G|2250","4IOA|1|X|U|2251","4IOA|1|X|A|2252","4IOA|1|X|A|2253","4IOA|1|X|G|2255" "4V9F|1|0|C|2298","4V9F|1|0|G|2299","4V9F|1|0|A|2300","4V9F|1|0|A|2301","4V9F|1|0|A|2303","4V9F|1|0|G|2304","4V9F|1|0|A|2305","4V9F|1|0|U|2306","4V9F|1|0|A|2307","4V9F|1|0|U|2308","4V9F|1|0|G|2310" "5TBW|1|1|U|2633","5TBW|1|1|U|2634","5TBW|1|1|A|2635","5TBW|1|1|A|2636","5TBW|1|1|C|2638","5TBW|1|1|G|2639","5TBW|1|1|A|2640","5TBW|1|1|U|2641","5TBW|1|1|A|2642","5TBW|1|1|A|2643","5TBW|1|1|G|2645" >HL_19958.1 "4QEI|1|C|G|12||||2_555","4QEI|1|C|U|13||||2_555","4QEI|1|C|A|14||||2_555","4QEI|1|C|G|15||||2_555","4QEI|1|C|U|16||||2_555","4QEI|1|C|A|21||||2_555","4QEI|1|C|U|22||||2_555","4QEI|1|C|C|23||||2_555" "4QEI|1|C|G|12","4QEI|1|C|U|13","4QEI|1|C|A|14","4QEI|1|C|G|15","4QEI|1|C|U|16","4QEI|1|C|A|21","4QEI|1|C|U|22","4QEI|1|C|C|23" "5E6M|1|C|G|12","5E6M|1|C|U|13","5E6M|1|C|A|14","5E6M|1|C|G|15","5E6M|1|C|U|16","5E6M|1|C|A|21","5E6M|1|C|U|22","5E6M|1|C|C|23" "2DU3|1|D|A|912","2DU3|1|D|G|913","2DU3|1|D|A|914","2DU3|1|D|G|915","2DU3|1|D|G|916","2DU3|1|D|U|920","2DU3|1|D|U|921","2DU3|1|D|U|922" "2DU3|1|D|A|912||||4_555","2DU3|1|D|G|913||||4_555","2DU3|1|D|A|914||||4_555","2DU3|1|D|G|915||||4_555","2DU3|1|D|G|916||||4_555","2DU3|1|D|U|920||||4_555","2DU3|1|D|U|921||||4_555","2DU3|1|D|U|922||||4_555" >HL_20714.1 "4LVW|1|A|A|33","4LVW|1|A|A|34","4LVW|1|A|U|35","4LVW|1|A|G|37","4LVW|1|A|G|38","4LVW|1|A|A|39","4LVW|1|A|U|40","4LVW|1|A|G|41","4LVW|1|A|U|42","4LVW|1|A|G|44","4LVW|1|A|U|45" >HL_20743.1 "5KPY|1|A|G|31","5KPY|1|A|G|32","5KPY|1|A|A|33","5KPY|1|A|U|34","5KPY|1|A|A|35","5KPY|1|A|G|37","5KPY|1|A|G|38","5KPY|1|A|C|39" "1Y27|1|X|G|31","1Y27|1|X|G|32","1Y27|1|X|A|33","1Y27|1|X|U|34","1Y27|1|X|A|35","1Y27|1|X|G|37","1Y27|1|X|G|38","1Y27|1|X|C|39" "3RKF|1|C|G|31","3RKF|1|C|G|32","3RKF|1|C|A|33","3RKF|1|C|U|34","3RKF|1|C|A|35","3RKF|1|C|A|37","3RKF|1|C|G|38","3RKF|1|C|C|39" "4FEN|1|B|G|31","4FEN|1|B|G|32","4FEN|1|B|A|33","4FEN|1|B|U|34","4FEN|1|B|A|35","4FEN|1|B|G|37","4FEN|1|B|G|38","4FEN|1|B|C|39" "3SKL|1|B|C|38","3SKL|1|B|A|39","3SKL|1|B|U|40","3SKL|1|B|A|41","3SKL|1|B|A|42","3SKL|1|B|G|44","3SKL|1|B|G|45","3SKL|1|B|G|46" "3SKI|1|A|C|39","3SKI|1|A|A|40","3SKI|1|A|U|41","3SKI|1|A|A|42","3SKI|1|A|A|43","3SKI|1|A|G|45","3SKI|1|A|G|46","3SKI|1|A|G|47" >HL_20751.1 "" "" >HL_20891.1 "5WTK|1|B|C|7","5WTK|1|B|A|8","5WTK|1|B|A|9","5WTK|1|B|U|10","5WTK|1|B|A|11","5WTK|1|B|U|12","5WTK|1|B|C|13","5WTK|1|B|G|14","5WTK|1|B|A|15","5WTK|1|B|A|16","5WTK|1|B|G|17" >HL_22443.1 "4V88|1|A6|C|393","4V88|1|A6|C|394","4V88|1|A6|U|395","4V88|1|A6|G|396","4V88|1|A6|A|397","4V88|1|A6|G|398","4V88|1|A6|A|399","4V88|1|A6|A|400","4V88|1|A6|A|401","4V88|1|A6|C|402","4V88|1|A6|G|404" >HL_23090.1 "3PDR|1|X|C|99","3PDR|1|X|G|100","3PDR|1|X|C|102","3PDR|1|X|A|103","3PDR|1|X|U|104","3PDR|1|X|A|105","3PDR|1|X|A|106","3PDR|1|X|G|107" >HL_23219.1 "4WF9|1|X|C|587","4WF9|1|X|G|588","4WF9|1|X|U|589","4WF9|1|X|A|591","4WF9|1|X|A|592","4WF9|1|X|G|594" >HL_23481.3 "4Y4O|1|2A|G|194","4Y4O|1|2A|A|195","4Y4O|1|2A|A|197","4Y4O|1|2A|C|198","4Y4O|1|2A|A|199","4Y4O|1|2A|U|200","4Y4O|1|2A|C|201" "5J7L|1|DA|G|194","5J7L|1|DA|A|195","5J7L|1|DA|A|197","5J7L|1|DA|C|198","5J7L|1|DA|A|199","5J7L|1|DA|U|200","5J7L|1|DA|C|201" "4IOA|1|X|G|171","4IOA|1|X|A|172","4IOA|1|X|A|174","4IOA|1|X|C|175","4IOA|1|X|A|176","4IOA|1|X|U|177","4IOA|1|X|C|178" "4WF9|1|X|G|197","4WF9|1|X|A|198","4WF9|1|X|A|200","4WF9|1|X|C|201","4WF9|1|X|A|202","4WF9|1|X|U|203","4WF9|1|X|C|204" "4V9F|1|0|G|164","4V9F|1|0|A|165","4V9F|1|0|A|167","4V9F|1|0|C|168","4V9F|1|0|A|169","4V9F|1|0|U|170","4V9F|1|0|C|171" "5TBW|1|1|U|38","5TBW|1|1|A|39","5TBW|1|1|G|41","5TBW|1|1|C|42","5TBW|1|1|A|43","5TBW|1|1|U|44","5TBW|1|1|A|45" "3DIL|1|A|G|124","3DIL|1|A|G|125","3DIL|1|A|A|126","3DIL|1|A|A|127","3DIL|1|A|U|128","3DIL|1|A|A|129","3DIL|1|A|C|130" >HL_23537.2 "4OJI|1|A|G|23","4OJI|1|A|U|24","4OJI|1|A|C|25","4OJI|1|A|C|26","4OJI|1|A|C|27","4OJI|1|A|A|28","4OJI|1|A|A|29","4OJI|1|A|G|30","4OJI|1|A|C|31","4OJI|1|A|C|32" "4RGE|1|C|G|29","4RGE|1|C|U|30","4RGE|1|C|C|31","4RGE|1|C|C|32","4RGE|1|C|U|33","4RGE|1|C|A|34","4RGE|1|C|A|35","4RGE|1|C|G|36","4RGE|1|C|C|37","4RGE|1|C|C|38" "4QJD|1|D|G|43","4QJD|1|D|U|44","4QJD|1|D|C|45","4QJD|1|D|C|46","4QJD|1|D|C|47","4QJD|1|D|A|48","4QJD|1|D|A|49","4QJD|1|D|G|50","4QJD|1|D|C|51","4QJD|1|D|C|52" >HL_24323.1 "387D|1|A|G|4","387D|1|A|A|5","387D|1|A|A|6","387D|1|A|G|7","387D|1|A|U|8","387D|1|A|G|9","387D|1|A|C|10","387D|1|A|A|11","387D|1|A|A|12","387D|1|A|C|13" "1F27|1|A|U|7","1F27|1|A|C|8","1F27|1|A|A|9","1F27|1|A|G|10","1F27|1|A|A|11","1F27|1|A|G|12","1F27|1|A|G|13","1F27|1|A|A|14","1F27|1|A|C|15","1F27|1|A|A|16" >HL_24625.1 "3SN2|1|B|C|14","3SN2|1|B|A|15","3SN2|1|B|G|16","3SN2|1|B|U|17","3SN2|1|B|G|18" "3SNP|1|D|C|14","3SNP|1|D|A|15","3SNP|1|D|G|16","3SNP|1|D|U|17","3SNP|1|D|G|18" >HL_25183.1 "3MOJ|1|A|C|2551","3MOJ|1|A|U|2555","3MOJ|1|A|C|2556","3MOJ|1|A|G|2557" >HL_25619.1 "4IOA|1|X|G|2282","4IOA|1|X|G|2283","4IOA|1|X|U|2284","4IOA|1|X|U|2285","4IOA|1|X|G|2286","4IOA|1|X|G|2287","4IOA|1|X|A|2288","4IOA|1|X|A|2289","4IOA|1|X|A|2290","4IOA|1|X|U|2291","4IOA|1|X|C|2292" >HL_25762.1 "3DIL|1|A|G|149","3DIL|1|A|G|150","3DIL|1|A|U|151","3DIL|1|A|C|152","3DIL|1|A|U|153","3DIL|1|A|C|154" "1QU2|1|T|C|31","1QU2|1|T|C|32","1QU2|1|T|U|33","1QU2|1|T|U|36","1QU2|1|T|A|38","1QU2|1|T|G|39" >HL_26055.3 "4FEN|1|B|G|46","4FEN|1|B|U|47","4FEN|1|B|U|49","4FEN|1|B|C|50","4FEN|1|B|U|51","4FEN|1|B|A|52","4FEN|1|B|C|53" "1Y27|1|X|G|46","1Y27|1|X|U|47","1Y27|1|X|U|49","1Y27|1|X|C|50","1Y27|1|X|U|51","1Y27|1|X|A|52","1Y27|1|X|C|53" "1Y26|1|X|G|46","1Y26|1|X|U|47","1Y26|1|X|U|49","1Y26|1|X|C|50","1Y26|1|X|U|51","1Y26|1|X|A|52","1Y26|1|X|C|53" "4XNR|1|X|G|46","4XNR|1|X|U|47","4XNR|1|X|U|49","4XNR|1|X|C|50","4XNR|1|X|U|51","4XNR|1|X|A|52","4XNR|1|X|C|53" "4LX6|1|A|G|46","4LX6|1|A|C|47","4LX6|1|A|U|49","4LX6|1|A|C|50","4LX6|1|A|C|51","4LX6|1|A|A|52","4LX6|1|A|C|53" "3LA5|1|A|G|46","3LA5|1|A|C|47","3LA5|1|A|U|49","3LA5|1|A|C|50","3LA5|1|A|C|51","3LA5|1|A|A|52","3LA5|1|A|C|53" "3AL0|1|E|A|31","3AL0|1|E|C|32","3AL0|1|E|C|34","3AL0|1|E|U|35","3AL0|1|E|G|36","3AL0|1|E|A|38","3AL0|1|E|U|39" "4LFB|1|A|G|80","4LFB|1|A|U|81","4LFB|1|A|U|82","4LFB|1|A|U|83","4LFB|1|A|U|84","4LFB|1|A|A|88","4LFB|1|A|C|89" "2GDI|1|X|C|27","2GDI|1|X|U|28","2GDI|1|X|G|29","2GDI|1|X|C|30","2GDI|1|X|G|31","2GDI|1|X|U|32","2GDI|1|X|G|33" "4LFB|1|A|G|617","4LFB|1|A|C|618","4LFB|1|A|U|619","4LFB|1|A|C|620","4LFB|1|A|A|621","4LFB|1|A|A|622","4LFB|1|A|C|623" "5J7L|1|AA|G|617","5J7L|1|AA|C|618","5J7L|1|AA|U|619","5J7L|1|AA|C|620","5J7L|1|AA|A|621","5J7L|1|AA|A|622","5J7L|1|AA|C|623" "4WF9|1|X|C|2578","4WF9|1|X|U|2579","4WF9|1|X|G|2580","4WF9|1|X|U|2581","4WF9|1|X|U|2582","4WF9|1|X|C|2583","4WF9|1|X|G|2584" "4IOA|1|X|C|2530","4IOA|1|X|U|2531","4IOA|1|X|G|2532","4IOA|1|X|U|2533","4IOA|1|X|U|2534","4IOA|1|X|C|2535","4IOA|1|X|G|2536" "5TBW|1|1|U|2920","5TBW|1|1|U|2921","5TBW|1|1|G|2922","5TBW|1|1|U|2923","5TBW|1|1|U|2924","5TBW|1|1|C|2925","5TBW|1|1|A|2926" >HL_26501.1 "1E8O|1|E|C|131","1E8O|1|E|A|132","1E8O|1|E|G|133","1E8O|1|E|C|134","1E8O|1|E|U|135","1E8O|1|E|A|136","1E8O|1|E|C|137","1E8O|1|E|G|140" >HL_26772.1 "2A64|1|A|C|97","2A64|1|A|G|98","2A64|1|A|A|99","2A64|1|A|A|100","2A64|1|A|U|101","2A64|1|A|C|103","2A64|1|A|A|104","2A64|1|A|U|105","2A64|1|A|A|106","2A64|1|A|A|107","2A64|1|A|G|108" "5TBW|1|1|A|2441","5TBW|1|1|G|2442","5TBW|1|1|A|2443","5TBW|1|1|C|2444","5TBW|1|1|A|2445","5TBW|1|1|U|2501","5TBW|1|1|A|2502","5TBW|1|1|G|2503","5TBW|1|1|U|2504","5TBW|1|1|U|2505","5TBW|1|1|U|2506" >HL_27335.1 "2DU3|1|D|A|930","2DU3|1|D|C|931","2DU3|1|D|A|935","2DU3|1|D|G|936","2DU3|1|D|A|937","2DU3|1|D|U|938" "2DU3|1|D|A|930||||4_555","2DU3|1|D|C|931||||4_555","2DU3|1|D|A|935||||4_555","2DU3|1|D|G|936||||4_555","2DU3|1|D|A|937||||4_555","2DU3|1|D|U|938||||4_555" >HL_27757.1 "5TBW|1|1|C|3240","5TBW|1|1|G|3241","5TBW|1|1|G|3242","5TBW|1|1|A|3245","5TBW|1|1|G|3246","5TBW|1|1|G|3247" >HL_28843.1 "3IGI|1|A|U|47","3IGI|1|A|G|48","3IGI|1|A|A|49","3IGI|1|A|G|51","3IGI|1|A|G|52","3IGI|1|A|C|53","3IGI|1|A|A|54","3IGI|1|A|G|55","3IGI|1|A|A|56","3IGI|1|A|A|57","3IGI|1|A|G|58","3IGI|1|A|U|59","3IGI|1|A|A|60","3IGI|1|A|A|61" >HL_29392.1 "4IOA|1|X|G|1402","4IOA|1|X|U|1403","4IOA|1|X|C|1404","4IOA|1|X|A|1405","4IOA|1|X|A|1406","4IOA|1|X|G|1407","4IOA|1|X|A|1408","4IOA|1|X|C|1411" >HL_29487.1 "2QUS|1|A|C|32","2QUS|1|A|U|33","2QUS|1|A|G|34","2QUS|1|A|A|35","2QUS|1|A|U|36","2QUS|1|A|G|37" "2QUW|1|B|C|32","2QUW|1|B|U|33","2QUW|1|B|G|34","2QUW|1|B|A|35","2QUW|1|B|U|36","2QUW|1|B|G|37" "5DH6|1|A|C|16","5DH6|1|A|U|17","5DH6|1|A|G|18","5DH6|1|A|A|19","5DH6|1|A|U|20","5DH6|1|A|G|21" "2OEU|1|A|C|15","2OEU|1|A|U|16","2OEU|1|A|G|17","2OEU|1|A|A|18","2OEU|1|A|U|19","2OEU|1|A|G|20" >HL_30541.1 "4V88|1|A6|C|491","4V88|1|A6|A|492","4V88|1|A6|U|493","4V88|1|A6|U|494","4V88|1|A6|G|496" >HL_30585.1 "2QWY|1|C|A|24","2QWY|1|C|G|25","2QWY|1|C|U|26","2QWY|1|C|C|27","2QWY|1|C|G|28","2QWY|1|C|C|29","2QWY|1|C|G|30","2QWY|1|C|U|31","2QWY|1|C|G|32","2QWY|1|C|A|33","2QWY|1|C|A|35","2QWY|1|C|A|36","2QWY|1|C|A|37","2QWY|1|C|U|38","2QWY|1|C|G|39","2QWY|1|C|U|40" >HL_30596.1 "3TS2|1|U|U|5","3TS2|1|U|C|6","3TS2|1|U|U|7","3TS2|1|U|A|8","3TS2|1|U|A|13","3TS2|1|U|C|14","3TS2|1|U|C|15","3TS2|1|U|A|16" "3TS2|1|V|U|5","3TS2|1|V|C|6","3TS2|1|V|U|7","3TS2|1|V|A|8","3TS2|1|V|A|13","3TS2|1|V|C|14","3TS2|1|V|C|15","3TS2|1|V|A|16" >HL_30819.1 "4V88|1|A6|A|1238","4V88|1|A6|U|1239","4V88|1|A6|U|1240","4V88|1|A6|G|1241","4V88|1|A6|A|1242","4V88|1|A6|A|1244","4V88|1|A6|C|1246","4V88|1|A6|U|1247" >HL_31286.2 "5TPY|1|A|U|28||||8_555","5TPY|1|A|U|29||||8_555","5TPY|1|A|G|31||||8_555","5TPY|1|A|G|32||||8_555","5TPY|1|A|G|33||||8_555","5TPY|1|A|G|34||||8_555","5TPY|1|A|A|35||||8_555","5TPY|1|A|A|36||||8_555","5TPY|1|A|G|38||||8_555" "5TPY|1|A|U|28||||4_655","5TPY|1|A|U|29||||4_655","5TPY|1|A|G|31||||4_655","5TPY|1|A|G|32||||4_655","5TPY|1|A|G|33||||4_655","5TPY|1|A|G|34||||4_655","5TPY|1|A|A|35||||4_655","5TPY|1|A|A|36||||4_655","5TPY|1|A|G|38||||4_655" "5TPY|1|A|U|28||||11_655","5TPY|1|A|U|29||||11_655","5TPY|1|A|G|31||||11_655","5TPY|1|A|G|32||||11_655","5TPY|1|A|G|33||||11_655","5TPY|1|A|G|34||||11_655","5TPY|1|A|A|35||||11_655","5TPY|1|A|A|36||||11_655","5TPY|1|A|G|38||||11_655" "5TPY|1|A|U|28","5TPY|1|A|U|29","5TPY|1|A|G|31","5TPY|1|A|G|32","5TPY|1|A|G|33","5TPY|1|A|G|34","5TPY|1|A|A|35","5TPY|1|A|A|36","5TPY|1|A|G|38" "4V83|1|CV|A|6160","4V83|1|CV|U|6161","4V83|1|CV|A|6163","4V83|1|CV|A|6164","4V83|1|CV|G|6165","4V83|1|CV|U|6166","4V83|1|CV|G|6167","4V83|1|CV|G|6168","4V83|1|CV|U|6169" "3MJA|1|B|A|6184","3MJA|1|B|U|6185","3MJA|1|B|A|6187","3MJA|1|B|G|6188","3MJA|1|B|G|6189","3MJA|1|B|U|6190","3MJA|1|B|A|6191","3MJA|1|B|G|6192","3MJA|1|B|U|6193" >HL_31581.1 "4PR6|1|B|C|147","4PR6|1|B|A|148","4PR6|1|B|U|149","4PR6|1|B|U|150","4PR6|1|B|G|151","4PR6|1|B|C|152","4PR6|1|B|A|153","4PR6|1|B|C|154","4PR6|1|B|U|155","4PR6|1|B|C|156","4PR6|1|B|C|157","4PR6|1|B|G|158" "4PRF|1|B|C|147","4PRF|1|B|A|148","4PRF|1|B|U|149","4PRF|1|B|U|150","4PRF|1|B|G|151","4PRF|1|B|C|152","4PRF|1|B|A|153","4PRF|1|B|C|154","4PRF|1|B|U|155","4PRF|1|B|C|156","4PRF|1|B|C|157","4PRF|1|B|G|158" >HL_32255.1 "" >HL_32458.1 "4V9I|1|AY|C|52","4V9I|1|AY|A|53","4V9I|1|AY|A|54","4V9I|1|AY|C|55","4V9I|1|AY|U|56","4V9I|1|AY|C|57","4V9I|1|AY|U|58","4V9I|1|AY|C|61","4V9I|1|AY|G|62" "4V7M|1|AY|G|51","4V7M|1|AY|G|52","4V7M|1|AY|U|53","4V7M|1|AY|U|54","4V7M|1|AY|C|55","4V7M|1|AY|G|56","4V7M|1|AY|A|57","4V7M|1|AY|C|60","4V7M|1|AY|C|61" "4V88|1|A6|A|1550","4V88|1|A6|U|1551","4V88|1|A6|U|1552","4V88|1|A6|G|1553","4V88|1|A6|U|1554","4V88|1|A6|A|1555","4V88|1|A6|A|1556","4V88|1|A6|U|1560","4V88|1|A6|U|1561" >HL_32512.2 "4AOB|1|A|G|23","4AOB|1|A|A|24","4AOB|1|A|C|25","4AOB|1|A|U|26","4AOB|1|A|G|27","4AOB|1|A|G|28","4AOB|1|A|C|29" "4AOB|1|A|G|23||||7_555","4AOB|1|A|A|24||||7_555","4AOB|1|A|C|25||||7_555","4AOB|1|A|U|26||||7_555","4AOB|1|A|G|27||||7_555","4AOB|1|A|G|28||||7_555","4AOB|1|A|C|29||||7_555" "2YGH|1|A|G|23||||8_554","2YGH|1|A|A|24||||8_554","2YGH|1|A|C|25||||8_554","2YGH|1|A|U|26||||8_554","2YGH|1|A|G|27||||8_554","2YGH|1|A|G|28||||8_554","2YGH|1|A|C|29||||8_554" "2YGH|1|A|G|23","2YGH|1|A|A|24","2YGH|1|A|C|25","2YGH|1|A|U|26","2YGH|1|A|G|27","2YGH|1|A|G|28","2YGH|1|A|C|29" "5FJC|1|A|G|23","5FJC|1|A|A|24","5FJC|1|A|C|25","5FJC|1|A|U|26","5FJC|1|A|G|27","5FJC|1|A|G|28","5FJC|1|A|C|29" "4KQY|1|A|G|23||||4_555","4KQY|1|A|A|24||||4_555","4KQY|1|A|C|25||||4_555","4KQY|1|A|U|26||||4_555","4KQY|1|A|G|27||||4_555","4KQY|1|A|G|28||||4_555","4KQY|1|A|C|29||||4_555" "4KQY|1|A|G|23","4KQY|1|A|A|24","4KQY|1|A|C|25","4KQY|1|A|U|26","4KQY|1|A|G|27","4KQY|1|A|G|28","4KQY|1|A|C|29" "3V7E|1|C|G|23","3V7E|1|C|A|24","3V7E|1|C|C|25","3V7E|1|C|U|26","3V7E|1|C|G|27","3V7E|1|C|G|28","3V7E|1|C|C|29" "3HHN|1|E|G|75","3HHN|1|E|G|77","3HHN|1|E|G|78","3HHN|1|E|C|79","3HHN|1|E|A|80","3HHN|1|E|G|81","3HHN|1|E|C|82" "3IVK|1|M|G|75","3IVK|1|M|G|77","3IVK|1|M|G|78","3IVK|1|M|C|79","3IVK|1|M|A|80","3IVK|1|M|G|81","3IVK|1|M|C|82" "5U30|1|B|U|43","5U30|1|B|U|44","5U30|1|B|U|45","5U30|1|B|C|46","5U30|1|B|C|47","5U30|1|B|A|48","5U30|1|B|G|49" "4WF9|1|X|G|456","4WF9|1|X|A|458","4WF9|1|X|C|459","4WF9|1|X|C|460","4WF9|1|X|A|461","4WF9|1|X|U|462","4WF9|1|X|C|463" "4Y4O|1|2A|G|410","4Y4O|1|2A|A|412","4Y4O|1|2A|C|413","4Y4O|1|2A|C|414","4Y4O|1|2A|A|415","4Y4O|1|2A|C|416","4Y4O|1|2A|C|417" "5J7L|1|DA|G|410","5J7L|1|DA|A|412","5J7L|1|DA|C|413","5J7L|1|DA|C|414","5J7L|1|DA|A|415","5J7L|1|DA|U|416","5J7L|1|DA|C|417" "4OQU|1|A|G|32","4OQU|1|A|C|34","4OQU|1|A|G|35","4OQU|1|A|G|36","4OQU|1|A|A|37","4OQU|1|A|C|38","4OQU|1|A|C|40" "4IOA|1|X|G|423","4IOA|1|X|A|425","4IOA|1|X|C|426","4IOA|1|X|C|427","4IOA|1|X|A|428","4IOA|1|X|C|429","4IOA|1|X|C|430" >HL_32617.1 "3AM1|1|B|U|18||||5_555","3AM1|1|B|U|19||||5_555","3AM1|1|B|G|21||||5_555","3AM1|1|B|U|22||||5_555","3AM1|1|B|A|23||||5_555" "3AM1|1|B|U|18","3AM1|1|B|U|19","3AM1|1|B|G|21","3AM1|1|B|U|22","3AM1|1|B|A|23" "3W3S|1|B|C|15||||43_455","3W3S|1|B|U|16||||43_455","3W3S|1|B|G|19||||43_455","3W3S|1|B|U|20||||43_455","3W3S|1|B|G|20|||A|43_455" "3W3S|1|B|C|15","3W3S|1|B|U|16","3W3S|1|B|G|19","3W3S|1|B|U|20","3W3S|1|B|G|20|||A" "4ZDO|1|E|G|15||A","4ZDO|1|E|U|16||A","4ZDO|1|E|G|19||A","4ZDO|1|E|U|20||A","4ZDO|1|E|C|20||A|A" "3RG5|1|B|G|15||||1_556","3RG5|1|B|U|16||||1_556","3RG5|1|B|G|19||||1_556","3RG5|1|B|U|20||||1_556","3RG5|1|B|C|20|||A|1_556" "3RG5|1|B|G|15","3RG5|1|B|U|16","3RG5|1|B|G|19","3RG5|1|B|U|20","3RG5|1|B|C|20|||A" "3ADD|1|C|G|15","3ADD|1|C|U|16","3ADD|1|C|G|19","3ADD|1|C|U|20","3ADD|1|C|C|20|||A" "5F9R|1|A|G|105","5F9R|1|A|A|106","5F9R|1|A|G|107","5F9R|1|A|U|108","5F9R|1|A|C|109" >HL_33239.7 "4YCO|1|D|G|53","4YCO|1|D|U|54","4YCO|1|D|U|55","4YCO|1|D|C|56","4YCO|1|D|G|57","4YCO|1|D|A|58","4YCO|1|D|U|59","4YCO|1|D|U|60","4YCO|1|D|C|61" "5AXM|1|P|G|53||||2_555","5AXM|1|P|U|54||||2_555","5AXM|1|P|U|55||||2_555","5AXM|1|P|C|56||||2_555","5AXM|1|P|G|57||||2_555","5AXM|1|P|A|58||||2_555","5AXM|1|P|U|59||||2_555","5AXM|1|P|C|60||||2_555","5AXM|1|P|C|61||||2_555" "5AXM|1|P|G|53","5AXM|1|P|U|54","5AXM|1|P|U|55","5AXM|1|P|C|56","5AXM|1|P|G|57","5AXM|1|P|A|58","5AXM|1|P|U|59","5AXM|1|P|C|60","5AXM|1|P|C|61" "3ZGZ|1|B|G|53","3ZGZ|1|B|U|54","3ZGZ|1|B|U|55","3ZGZ|1|B|C|56","3ZGZ|1|B|A|57","3ZGZ|1|B|A|58","3ZGZ|1|B|G|59","3ZGZ|1|B|U|60","3ZGZ|1|B|C|61" "1J1U|1|B|G|554","1J1U|1|B|U|555","1J1U|1|B|U|556","1J1U|1|B|C|557","1J1U|1|B|A|558","1J1U|1|B|A|559","1J1U|1|B|A|560","1J1U|1|B|U|561","1J1U|1|B|C|562" "1J1U|1|B|G|554||||4_556","1J1U|1|B|U|555||||4_556","1J1U|1|B|U|556||||4_556","1J1U|1|B|C|557||||4_556","1J1U|1|B|A|558||||4_556","1J1U|1|B|A|559||||4_556","1J1U|1|B|A|560||||4_556","1J1U|1|B|U|561||||4_556","1J1U|1|B|C|562||||4_556" "4YYE|1|C|G|53","4YYE|1|C|U|54","4YYE|1|C|U|55","4YYE|1|C|C|56","4YYE|1|C|A|57","4YYE|1|C|A|58","4YYE|1|C|A|59","4YYE|1|C|U|60","4YYE|1|C|C|61" "5HR6|1|C|G|52","5HR6|1|C|U|53","5HR6|1|C|U|54","5HR6|1|C|C|55","5HR6|1|C|G|56","5HR6|1|C|A|57","5HR6|1|C|A|58","5HR6|1|C|U|59","5HR6|1|C|C|60" "1QU2|1|T|G|53","1QU2|1|T|U|54","1QU2|1|T|U|55","1QU2|1|T|C|56","1QU2|1|T|A|57","1QU2|1|T|A|58","1QU2|1|T|G|59","1QU2|1|T|U|60","1QU2|1|T|C|61" "2ZUE|1|B|G|953","2ZUE|1|B|U|954","2ZUE|1|B|U|955","2ZUE|1|B|C|956","2ZUE|1|B|A|957","2ZUE|1|B|A|958","2ZUE|1|B|A|959","2ZUE|1|B|U|960","2ZUE|1|B|C|961" "4JXZ|1|B|G|953","4JXZ|1|B|U|954","4JXZ|1|B|U|955","4JXZ|1|B|C|956","4JXZ|1|B|G|957","4JXZ|1|B|A|958","4JXZ|1|B|A|959","4JXZ|1|B|U|960","4JXZ|1|B|C|961" "5X6B|1|P|G|52","5X6B|1|P|U|53","5X6B|1|P|U|54","5X6B|1|P|C|55","5X6B|1|P|A|56","5X6B|1|P|A|57","5X6B|1|P|A|58","5X6B|1|P|U|59","5X6B|1|P|C|60" "4RDX|1|C|G|53||||4_577","4RDX|1|C|U|54||||4_577","4RDX|1|C|U|55||||4_577","4RDX|1|C|C|56||||4_577","4RDX|1|C|A|57||||4_577","4RDX|1|C|A|58||||4_577","4RDX|1|C|G|59||||4_577","4RDX|1|C|U|60||||4_577","4RDX|1|C|C|61||||4_577" "4RDX|1|C|G|53","4RDX|1|C|U|54","4RDX|1|C|U|55","4RDX|1|C|C|56","4RDX|1|C|A|57","4RDX|1|C|A|58","4RDX|1|C|G|59","4RDX|1|C|U|60","4RDX|1|C|C|61" "5HR7|1|D|G|52","5HR7|1|D|U|53","5HR7|1|D|U|54","5HR7|1|D|C|55","5HR7|1|D|G|56","5HR7|1|D|A|57","5HR7|1|D|A|58","5HR7|1|D|U|59","5HR7|1|D|C|60" "1QTQ|1|B|G|953","1QTQ|1|B|U|954","1QTQ|1|B|U|955","1QTQ|1|B|C|956","1QTQ|1|B|G|957","1QTQ|1|B|A|958","1QTQ|1|B|A|959","1QTQ|1|B|U|960","1QTQ|1|B|C|961" "2ZZM|1|B|G|53","2ZZM|1|B|U|54","2ZZM|1|B|U|55","2ZZM|1|B|C|56","2ZZM|1|B|A|57","2ZZM|1|B|A|58","2ZZM|1|B|A|59","2ZZM|1|B|U|60","2ZZM|1|B|C|61" "2ZZN|1|D|G|53","2ZZN|1|D|U|54","2ZZN|1|D|U|55","2ZZN|1|D|C|56","2ZZN|1|D|A|57","2ZZN|1|D|A|58","2ZZN|1|D|A|59","2ZZN|1|D|U|60","2ZZN|1|D|C|61" "3WQY|1|C|G|1653","3WQY|1|C|U|1654","3WQY|1|C|U|1655","3WQY|1|C|C|1656","3WQY|1|C|A|1657","3WQY|1|C|A|1658","3WQY|1|C|A|1659","3WQY|1|C|U|1660","3WQY|1|C|C|1661" "5WT1|1|C|G|53","5WT1|1|C|U|54","5WT1|1|C|U|55","5WT1|1|C|C|56","5WT1|1|C|A|57","5WT1|1|C|A|58","5WT1|1|C|A|59","5WT1|1|C|U|60","5WT1|1|C|C|61" "5AH5|1|D|G|53","5AH5|1|D|U|54","5AH5|1|D|U|55","5AH5|1|D|C|56","5AH5|1|D|A|57","5AH5|1|D|A|58","5AH5|1|D|G|59","5AH5|1|D|U|60","5AH5|1|D|C|61" "4WJ4|1|B|G|53","4WJ4|1|B|U|54","4WJ4|1|B|U|55","4WJ4|1|B|C|56","4WJ4|1|B|G|57","4WJ4|1|B|A|58","4WJ4|1|B|G|59","4WJ4|1|B|U|60","4WJ4|1|B|C|61" "4WJ4|1|B|G|53||||5_455","4WJ4|1|B|U|54||||5_455","4WJ4|1|B|U|55||||5_455","4WJ4|1|B|C|56||||5_455","4WJ4|1|B|G|57||||5_455","4WJ4|1|B|A|58||||5_455","4WJ4|1|B|G|59||||5_455","4WJ4|1|B|U|60||||5_455","4WJ4|1|B|C|61||||5_455" "3AL0|1|E|G|53","3AL0|1|E|U|54","3AL0|1|E|U|55","3AL0|1|E|C|56","3AL0|1|E|G|57","3AL0|1|E|A|58","3AL0|1|E|G|59","3AL0|1|E|U|60","3AL0|1|E|C|61" "4P5J|1|A|G|46","4P5J|1|A|U|47","4P5J|1|A|G|48","4P5J|1|A|C|49","4P5J|1|A|A|50","4P5J|1|A|A|51","4P5J|1|A|C|52","4P5J|1|A|U|53","4P5J|1|A|C|54" "4TUE|1|QV|G|53","4TUE|1|QV|U|54","4TUE|1|QV|U|55","4TUE|1|QV|C|56","4TUE|1|QV|A|57","4TUE|1|QV|A|58","4TUE|1|QV|A|59","4TUE|1|QV|U|60","4TUE|1|QV|C|61" "4ZDO|1|E|G|53||A","4ZDO|1|E|U|54||A","4ZDO|1|E|U|55||A","4ZDO|1|E|C|56||A","4ZDO|1|E|A|57||A","4ZDO|1|E|A|58||A","4ZDO|1|E|U|59||A","4ZDO|1|E|U|60||A","4ZDO|1|E|C|61||A" "4LCK|1|B|G|52","4LCK|1|B|U|53","4LCK|1|B|U|54","4LCK|1|B|C|55","4LCK|1|B|G|56","4LCK|1|B|A|57","4LCK|1|B|A|58","4LCK|1|B|U|59","4LCK|1|B|C|60" "4GCW|1|B|G|53||||2_556","4GCW|1|B|U|54||||2_556","4GCW|1|B|U|55||||2_556","4GCW|1|B|C|56||||2_556","4GCW|1|B|G|57||||2_556","4GCW|1|B|A|58||||2_556","4GCW|1|B|G|59||||2_556","4GCW|1|B|C|60||||2_556","4GCW|1|B|C|61||||2_556" "4GCW|1|B|G|53","4GCW|1|B|U|54","4GCW|1|B|U|55","4GCW|1|B|C|56","4GCW|1|B|G|57","4GCW|1|B|A|58","4GCW|1|B|G|59","4GCW|1|B|C|60","4GCW|1|B|C|61" "5B63|1|D|G|954","5B63|1|D|U|955","5B63|1|D|U|956","5B63|1|D|C|957","5B63|1|D|G|958","5B63|1|D|A|959","5B63|1|D|A|960","5B63|1|D|U|961","5B63|1|D|C|962" "4WT8|1|D4|G|54","4WT8|1|D4|U|55","4WT8|1|D4|U|56","4WT8|1|D4|C|57","4WT8|1|D4|A|58","4WT8|1|D4|A|59","4WT8|1|D4|A|60","4WT8|1|D4|U|61","4WT8|1|D4|C|62" "3RG5|1|B|G|53||||1_556","3RG5|1|B|U|54||||1_556","3RG5|1|B|U|55||||1_556","3RG5|1|B|C|56||||1_556","3RG5|1|B|A|57||||1_556","3RG5|1|B|A|58||||1_556","3RG5|1|B|U|59||||1_556","3RG5|1|B|U|60||||1_556","3RG5|1|B|C|61||||1_556" "3RG5|1|B|G|53","3RG5|1|B|U|54","3RG5|1|B|U|55","3RG5|1|B|C|56","3RG5|1|B|A|57","3RG5|1|B|A|58","3RG5|1|B|U|59","3RG5|1|B|U|60","3RG5|1|B|C|61" "2AZX|1|D|G|553","2AZX|1|D|U|554","2AZX|1|D|U|555","2AZX|1|D|C|556","2AZX|1|D|G|557","2AZX|1|D|A|558","2AZX|1|D|A|559","2AZX|1|D|U|560","2AZX|1|D|C|561" "2AZX|1|D|G|553||||6_555","2AZX|1|D|U|554||||6_555","2AZX|1|D|U|555||||6_555","2AZX|1|D|C|556||||6_555","2AZX|1|D|G|557||||6_555","2AZX|1|D|A|558||||6_555","2AZX|1|D|A|559||||6_555","2AZX|1|D|U|560||||6_555","2AZX|1|D|C|561||||6_555" "4V9Q|1|DV|G|53","4V9Q|1|DV|U|54","4V9Q|1|DV|U|55","4V9Q|1|DV|C|56","4V9Q|1|DV|A|57","4V9Q|1|DV|A|58","4V9Q|1|DV|A|59","4V9Q|1|DV|U|60","4V9Q|1|DV|C|61" "1U0B|1|A|G|53","1U0B|1|A|U|54","1U0B|1|A|U|55","1U0B|1|A|C|56","1U0B|1|A|G|57","1U0B|1|A|A|58","1U0B|1|A|C|59","1U0B|1|A|U|60","1U0B|1|A|C|61" "2DU3|1|D|G|952||||4_555","2DU3|1|D|U|953||||4_555","2DU3|1|D|U|954||||4_555","2DU3|1|D|C|955||||4_555","2DU3|1|D|G|956||||4_555","2DU3|1|D|A|957||||4_555","2DU3|1|D|A|958||||4_555","2DU3|1|D|U|959||||4_555","2DU3|1|D|C|960||||4_555" "2DU3|1|D|G|952","2DU3|1|D|U|953","2DU3|1|D|U|954","2DU3|1|D|C|955","2DU3|1|D|G|956","2DU3|1|D|A|957","2DU3|1|D|A|958","2DU3|1|D|U|959","2DU3|1|D|C|960" "3WFS|1|A|G|53","3WFS|1|A|U|54","3WFS|1|A|U|55","3WFS|1|A|C|56","3WFS|1|A|G|57","3WFS|1|A|A|58","3WFS|1|A|U|59","3WFS|1|A|U|60","3WFS|1|A|C|61" "2BTE|1|B|G|53","2BTE|1|B|U|54","2BTE|1|B|U|55","2BTE|1|B|C|56","2BTE|1|B|A|57","2BTE|1|B|A|58","2BTE|1|B|G|59","2BTE|1|B|U|60","2BTE|1|B|C|61" "3AMU|1|B|G|53","3AMU|1|B|U|54","3AMU|1|B|U|55","3AMU|1|B|C|56","3AMU|1|B|G|57","3AMU|1|B|A|58","3AMU|1|B|A|59","3AMU|1|B|U|60","3AMU|1|B|C|61" "1N78|1|C|G|553","1N78|1|C|U|554","1N78|1|C|U|555","1N78|1|C|C|556","1N78|1|C|G|557","1N78|1|C|A|558","1N78|1|C|U|559","1N78|1|C|U|560","1N78|1|C|C|561" "1WZ2|1|D|G|965","1WZ2|1|D|U|966","1WZ2|1|D|U|967","1WZ2|1|D|C|968","1WZ2|1|D|G|969","1WZ2|1|D|A|970","1WZ2|1|D|A|971","1WZ2|1|D|U|972","1WZ2|1|D|C|973" "4X4V|1|B|G|12","4X4V|1|B|U|13","4X4V|1|B|U|14","4X4V|1|B|C|15","4X4V|1|B|G|16","4X4V|1|B|A|17","4X4V|1|B|G|18","4X4V|1|B|U|19","4X4V|1|B|C|20" "3W3S|1|B|G|53","3W3S|1|B|U|54","3W3S|1|B|U|55","3W3S|1|B|C|56","3W3S|1|B|G|57","3W3S|1|B|A|58","3W3S|1|B|U|59","3W3S|1|B|U|60","3W3S|1|B|C|61" "3W3S|1|B|G|53||||43_455","3W3S|1|B|U|54||||43_455","3W3S|1|B|U|55||||43_455","3W3S|1|B|C|56||||43_455","3W3S|1|B|G|57||||43_455","3W3S|1|B|A|58||||43_455","3W3S|1|B|U|59||||43_455","3W3S|1|B|U|60||||43_455","3W3S|1|B|C|61||||43_455" "2D6F|1|F|G|953","2D6F|1|F|U|954","2D6F|1|F|U|955","2D6F|1|F|C|956","2D6F|1|F|G|957","2D6F|1|F|A|958","2D6F|1|F|C|959","2D6F|1|F|U|960","2D6F|1|F|C|961" "4MGN|1|B|G|52","4MGN|1|B|U|53","4MGN|1|B|U|54","4MGN|1|B|C|55","4MGN|1|B|G|56","4MGN|1|B|A|57","4MGN|1|B|G|58","4MGN|1|B|U|59","4MGN|1|B|C|60" "6MJ0|1|B|G|66","6MJ0|1|B|U|67","6MJ0|1|B|G|68","6MJ0|1|B|C|69","6MJ0|1|B|A|70","6MJ0|1|B|A|71","6MJ0|1|B|C|72","6MJ0|1|B|U|73","6MJ0|1|B|C|74" "3EPH|1|E|G|53","3EPH|1|E|U|54","3EPH|1|E|U|55","3EPH|1|E|C|56","3EPH|1|E|G|57","3EPH|1|E|A|58","3EPH|1|E|U|59","3EPH|1|E|C|60","3EPH|1|E|C|61" "5WWT|1|C|G|53","5WWT|1|C|U|54","5WWT|1|C|U|55","5WWT|1|C|C|56","5WWT|1|C|A|57","5WWT|1|C|A|58","5WWT|1|C|A|59","5WWT|1|C|U|60","5WWT|1|C|C|61" "5UD5|1|C|G|53","5UD5|1|C|U|54","5UD5|1|C|U|55","5UD5|1|C|A|56","5UD5|1|C|G|57","5UD5|1|C|A|58","5UD5|1|C|U|59","5UD5|1|C|U|60","5UD5|1|C|C|61" "3ADD|1|C|G|53","3ADD|1|C|U|54","3ADD|1|C|U|55","3ADD|1|C|C|56","3ADD|1|C|A|57","3ADD|1|C|A|58","3ADD|1|C|U|59","3ADD|1|C|U|60","3ADD|1|C|C|61" "3TUP|1|T|G|53","3TUP|1|T|U|54","3TUP|1|T|U|55","3TUP|1|T|C|56","3TUP|1|T|G|57","3TUP|1|T|A|58","3TUP|1|T|U|59","3TUP|1|T|U|60","3TUP|1|T|C|61" "2DER|1|D|G|53","2DER|1|D|U|54","2DER|1|D|U|55","2DER|1|D|C|56","2DER|1|D|G|57","2DER|1|D|A|58","2DER|1|D|A|59","2DER|1|D|U|60","2DER|1|D|C|61" "4V8Q|1|BY|G|66","4V8Q|1|BY|U|67","4V8Q|1|BY|U|68","4V8Q|1|BY|C|69","4V8Q|1|BY|G|70","4V8Q|1|BY|A|71","4V8Q|1|BY|C|72","4V8Q|1|BY|U|73","4V8Q|1|BY|C|74" "4V8N|1|CV|G|55","4V8N|1|CV|U|56","4V8N|1|CV|U|57","4V8N|1|CV|C|58","4V8N|1|CV|G|59","4V8N|1|CV|A|60","4V8N|1|CV|A|61","4V8N|1|CV|U|62","4V8N|1|CV|C|63" "3ICQ|1|E|G|53","3ICQ|1|E|U|54","3ICQ|1|E|U|55","3ICQ|1|E|C|56","3ICQ|1|E|G|57","3ICQ|1|E|A|58","3ICQ|1|E|U|59","3ICQ|1|E|C|60","3ICQ|1|E|C|61" "3AM1|1|B|G|59||||5_555","3AM1|1|B|U|60||||5_555","3AM1|1|B|U|61||||5_555","3AM1|1|B|C|62||||5_555","3AM1|1|B|G|63||||5_555","3AM1|1|B|A|64||||5_555","3AM1|1|B|U|65||||5_555","3AM1|1|B|U|66||||5_555","3AM1|1|B|C|67||||5_555" "3AM1|1|B|G|59","3AM1|1|B|U|60","3AM1|1|B|U|61","3AM1|1|B|C|62","3AM1|1|B|G|63","3AM1|1|B|A|64","3AM1|1|B|U|65","3AM1|1|B|U|66","3AM1|1|B|C|67" "3IGI|1|A|G|30","3IGI|1|A|U|31","3IGI|1|A|G|32","3IGI|1|A|A|33","3IGI|1|A|G|34","3IGI|1|A|A|35","3IGI|1|A|G|36","3IGI|1|A|U|37","3IGI|1|A|C|38" "5J7L|1|DA|U|566","5J7L|1|DA|U|567","5J7L|1|DA|U|568","5J7L|1|DA|U|569","5J7L|1|DA|G|570","5J7L|1|DA|U|571","5J7L|1|DA|A|572","5J7L|1|DA|U|573","5J7L|1|DA|A|575" "5Y85|1|D|G|11","5Y85|1|D|U|12","5Y85|1|D|G|13","5Y85|1|D|C|14","5Y85|1|D|A|15","5Y85|1|D|A|16","5Y85|1|D|G|17","5Y85|1|D|U|18","5Y85|1|D|C|19" "4WF9|1|X|U|609","4WF9|1|X|U|610","4WF9|1|X|U|611","4WF9|1|X|U|612","4WF9|1|X|G|613","4WF9|1|X|U|614","4WF9|1|X|A|615","4WF9|1|X|G|616","4WF9|1|X|A|618" "5TBW|1|1|U|640","5TBW|1|1|C|641","5TBW|1|1|U|642","5TBW|1|1|U|643","5TBW|1|1|G|644","5TBW|1|1|A|645","5TBW|1|1|A|646","5TBW|1|1|A|647","5TBW|1|1|A|649" "2CSX|1|C|G|53","2CSX|1|C|U|54","2CSX|1|C|U|55","2CSX|1|C|C|56","2CSX|1|C|G|57","2CSX|1|C|A|58","2CSX|1|C|G|59","2CSX|1|C|U|60","2CSX|1|C|C|61" "4Y4O|1|2A|U|566","4Y4O|1|2A|A|567","4Y4O|1|2A|U|568","4Y4O|1|2A|U|569","4Y4O|1|2A|G|570","4Y4O|1|2A|A|571","4Y4O|1|2A|A|572","4Y4O|1|2A|G|573","4Y4O|1|2A|A|575" "4V90|1|AV|G|53","4V90|1|AV|U|54","4V90|1|AV|U|55","4V90|1|AV|C|56","4V90|1|AV|G|57","4V90|1|AV|A|58","4V90|1|AV|U|59","4V90|1|AV|U|60","4V90|1|AV|C|61" "4IOA|1|X|U|575","4IOA|1|X|A|576","4IOA|1|X|U|577","4IOA|1|X|U|578","4IOA|1|X|G|579","4IOA|1|X|A|580","4IOA|1|X|A|581","4IOA|1|X|G|582","4IOA|1|X|A|584" "6CU1|1|A|G|24","6CU1|1|A|U|25","6CU1|1|A|U|26","6CU1|1|A|C|27","6CU1|1|A|G|28","6CU1|1|A|A|29","6CU1|1|A|G|30","6CU1|1|A|A|31","6CU1|1|A|C|32" "2DRA|1|B|G|12","2DRA|1|B|U|13","2DRA|1|B|U|14","2DRA|1|B|C|15","2DRA|1|B|G|16","2DRA|1|B|A|17","2DRA|1|B|U|18","2DRA|1|B|U|19","2DRA|1|B|C|20" "4V9K|1|AW|G|53","4V9K|1|AW|U|54","4V9K|1|AW|U|55","4V9K|1|AW|C|56","4V9K|1|AW|G|57","4V9K|1|AW|A|58","4V9K|1|AW|A|59","4V9K|1|AW|U|60","4V9K|1|AW|C|61" "4GXY|1|A|G|49","4GXY|1|A|U|50","4GXY|1|A|G|51","4GXY|1|A|C|52","4GXY|1|A|A|53","4GXY|1|A|A|54","4GXY|1|A|G|55","4GXY|1|A|U|56","4GXY|1|A|C|57" "4IOA|1|X|G|1294","4IOA|1|X|U|1295","4IOA|1|X|G|1296","4IOA|1|X|A|1297","4IOA|1|X|G|1298","4IOA|1|X|A|1299","4IOA|1|X|A|1300","4IOA|1|X|U|1301","4IOA|1|X|C|1302" "4Y4O|1|2A|G|1281","4Y4O|1|2A|U|1282","4Y4O|1|2A|G|1283","4Y4O|1|2A|A|1284","4Y4O|1|2A|G|1285","4Y4O|1|2A|A|1286","4Y4O|1|2A|A|1287","4Y4O|1|2A|U|1288","4Y4O|1|2A|C|1289" "1GAX|1|D|G|952","1GAX|1|D|U|953","1GAX|1|D|U|954","1GAX|1|D|C|955","1GAX|1|D|A|956","1GAX|1|D|A|957","1GAX|1|D|G|958","1GAX|1|D|U|959","1GAX|1|D|C|960" "1J2B|1|C|G|954","1J2B|1|C|U|955","1J2B|1|C|U|956","1J2B|1|C|C|957","1J2B|1|C|A|958","1J2B|1|C|A|959","1J2B|1|C|G|960","1J2B|1|C|U|961","1J2B|1|C|C|962" "4QEI|1|C|G|53","4QEI|1|C|U|54","4QEI|1|C|U|55","4QEI|1|C|C|56","4QEI|1|C|G|57","4QEI|1|C|A|58","4QEI|1|C|U|59","4QEI|1|C|U|60","4QEI|1|C|C|61" "4QEI|1|C|G|53||||2_555","4QEI|1|C|U|54||||2_555","4QEI|1|C|U|55||||2_555","4QEI|1|C|C|56||||2_555","4QEI|1|C|G|57||||2_555","4QEI|1|C|A|58||||2_555","4QEI|1|C|U|59||||2_555","4QEI|1|C|U|60||||2_555","4QEI|1|C|C|61||||2_555" "5E6M|1|C|G|53","5E6M|1|C|U|54","5E6M|1|C|U|55","5E6M|1|C|C|56","5E6M|1|C|G|57","5E6M|1|C|A|58","5E6M|1|C|U|59","5E6M|1|C|U|60","5E6M|1|C|C|61" "5J7L|1|DA|G|1281","5J7L|1|DA|U|1282","5J7L|1|DA|G|1283","5J7L|1|DA|A|1284","5J7L|1|DA|A|1285","5J7L|1|DA|A|1286","5J7L|1|DA|A|1287","5J7L|1|DA|G|1288","5J7L|1|DA|C|1289" "5TBW|1|1|A|1462","5TBW|1|1|U|1463","5TBW|1|1|G|1464","5TBW|1|1|A|1465","5TBW|1|1|G|1466","5TBW|1|1|A|1467","5TBW|1|1|A|1468","5TBW|1|1|C|1469","5TBW|1|1|U|1470" "5T5A|1|A|G|21","5T5A|1|A|U|22","5T5A|1|A|G|23","5T5A|1|A|C|24","5T5A|1|A|A|25","5T5A|1|A|A|26","5T5A|1|A|G|27","5T5A|1|A|C|28","5T5A|1|A|C|29" "4WF9|1|X|G|1318","4WF9|1|X|U|1319","4WF9|1|X|G|1320","4WF9|1|X|A|1321","4WF9|1|X|G|1322","4WF9|1|X|A|1323","4WF9|1|X|A|1324","4WF9|1|X|U|1325","4WF9|1|X|C|1326" "3OVB|1|C|G|12","3OVB|1|C|U|13","3OVB|1|C|U|14","3OVB|1|C|C|15","3OVB|1|C|A|16","3OVB|1|C|A|17","3OVB|1|C|G|18","3OVB|1|C|U|19","3OVB|1|C|C|20" "2ZNI|1|C|G|53","2ZNI|1|C|U|54","2ZNI|1|C|G|55","2ZNI|1|C|A|56","2ZNI|1|C|A|57","2ZNI|1|C|A|58","2ZNI|1|C|C|59","2ZNI|1|C|U|60","2ZNI|1|C|C|61" "4V9F|1|0|G|1387","4V9F|1|0|U|1388","4V9F|1|0|G|1389","4V9F|1|0|A|1390","4V9F|1|0|G|1391","4V9F|1|0|A|1392","4V9F|1|0|A|1393","4V9F|1|0|C|1394","4V9F|1|0|C|1395" "3VJR|1|B|G|13","3VJR|1|B|U|14","3VJR|1|B|U|15","3VJR|1|B|C|16","3VJR|1|B|G|17","3VJR|1|B|A|18","3VJR|1|B|U|19","3VJR|1|B|C|20","3VJR|1|B|C|21" "3F2X|1|X|G|17","3F2X|1|X|U|18","3F2X|1|X|G|19","3F2X|1|X|A|20","3F2X|1|X|A|21","3F2X|1|X|A|22","3F2X|1|X|U|23","3F2X|1|X|U|24","3F2X|1|X|C|25" "5C45|1|X|G|17","5C45|1|X|U|18","5C45|1|X|G|19","5C45|1|X|A|20","5C45|1|X|A|21","5C45|1|X|A|22","5C45|1|X|U|23","5C45|1|X|U|24","5C45|1|X|C|25" "4FRG|1|B|G|28","4FRG|1|B|U|29","4FRG|1|B|G|30","4FRG|1|B|A|31","4FRG|1|B|A|32","4FRG|1|B|A|33","4FRG|1|B|U|34","4FRG|1|B|U|35","4FRG|1|B|C|36" "6GSK|1|3K|G|53","6GSK|1|3K|U|54","6GSK|1|3K|U|55","6GSK|1|3K|C|56","6GSK|1|3K|G|57","6GSK|1|3K|A|58","6GSK|1|3K|A|59","6GSK|1|3K|U|60","6GSK|1|3K|C|61" "3F2X|1|X|G|68","3F2X|1|X|U|69","3F2X|1|X|G|70","3F2X|1|X|A|71","3F2X|1|X|A|72","3F2X|1|X|A|73","3F2X|1|X|U|74","3F2X|1|X|U|75","3F2X|1|X|C|76" "6DN2|1|X|G|17","6DN2|1|X|U|18","6DN2|1|X|G|19","6DN2|1|X|A|20","6DN2|1|X|A|21","6DN2|1|X|A|22","6DN2|1|X|U|23","6DN2|1|X|U|24","6DN2|1|X|C|25" "6DN2|1|Y|G|68","6DN2|1|Y|U|69","6DN2|1|Y|G|70","6DN2|1|Y|A|71","6DN2|1|Y|A|72","6DN2|1|Y|A|73","6DN2|1|Y|U|74","6DN2|1|Y|U|75","6DN2|1|Y|C|76" "4FRN|1|B|G|28","4FRN|1|B|U|29","4FRN|1|B|G|30","4FRN|1|B|U|31","4FRN|1|B|A|32","4FRN|1|B|A|33","4FRN|1|B|U|34","4FRN|1|B|U|35","4FRN|1|B|C|36" "6GSJ|1|3K|G|53","6GSJ|1|3K|U|54","6GSJ|1|3K|U|55","6GSJ|1|3K|C|56","6GSJ|1|3K|G|57","6GSJ|1|3K|A|58","6GSJ|1|3K|A|59","6GSJ|1|3K|U|60","6GSJ|1|3K|C|61" "5C45|1|Y|G|68","5C45|1|Y|U|69","5C45|1|Y|G|70","5C45|1|Y|A|71","5C45|1|Y|A|72","5C45|1|Y|A|73","5C45|1|Y|U|74","5C45|1|Y|U|75","5C45|1|Y|C|76" >HL_33483.1 "3EPH|1|E|C|13","3EPH|1|E|A|14","3EPH|1|E|G|15","3EPH|1|E|A|21","3EPH|1|E|G|22" "3TUP|1|T|C|13","3TUP|1|T|A|14","3TUP|1|T|G|15","3TUP|1|T|A|21","3TUP|1|T|G|22" "3AMU|1|B|U|13","3AMU|1|B|A|14","3AMU|1|B|G|15","3AMU|1|B|A|21|||C","3AMU|1|B|G|22" >HL_33507.2 "5CCB|1|N|U|33","5CCB|1|N|U|34","5CCB|1|N|U|35","5CCB|1|N|A|37" "5CCB|1|N|U|33||||7_555","5CCB|1|N|U|34||||7_555","5CCB|1|N|U|35||||7_555","5CCB|1|N|A|37||||7_555" >HL_33612.1 "5WTI|1|B|C|12","5WTI|1|B|U|13","5WTI|1|B|U|14","5WTI|1|B|U|15","5WTI|1|B|U|16","5WTI|1|B|G|18" >HL_34135.1 "4JXZ|1|B|U|931","4JXZ|1|B|U|932","4JXZ|1|B|U|933","4JXZ|1|B|U|935","4JXZ|1|B|A|937","4JXZ|1|B|U|938","4JXZ|1|B|A|939" >HL_34516.1 "4Y4O|1|2A|C|2701","4Y4O|1|2A|U|2702","4Y4O|1|2A|C|2703","4Y4O|1|2A|C|2704","4Y4O|1|2A|A|2705","4Y4O|1|2A|G|2706" >HL_35010.1 "2R8S|1|R|C|166","2R8S|1|R|U|167","2R8S|1|R|G|169","2R8S|1|R|C|170","2R8S|1|R|A|171","2R8S|1|R|A|172","2R8S|1|R|A|173","2R8S|1|R|G|174" >HL_35442.1 "4LGT|1|E|C|2594","4LGT|1|E|G|2595","4LGT|1|E|G|2597","4LGT|1|E|A|2598","4LGT|1|E|G|2599" "3WQY|1|C|U|1632","3WQY|1|C|U|1633","3WQY|1|C|C|1636","3WQY|1|C|G|1637","3WQY|1|C|A|1638" >HL_35491.1 "4R4V|1|A|G|670","4R4V|1|A|A|671","4R4V|1|A|A|672","4R4V|1|A|A|673","4R4V|1|A|C|674","4R4V|1|A|A|675","4R4V|1|A|C|676" "4R4V|1|A|G|670||||7_556","4R4V|1|A|A|671||||7_556","4R4V|1|A|A|672||||7_556","4R4V|1|A|A|673||||7_556","4R4V|1|A|C|674||||7_556","4R4V|1|A|A|675||||7_556","4R4V|1|A|C|676||||7_556" >HL_35550.1 "5J7L|1|DA|G|1171","5J7L|1|DA|C|1172","5J7L|1|DA|U|1174","5J7L|1|DA|U|1176","5J7L|1|DA|G|1177","5J7L|1|DA|C|1178" >HL_35888.1 "" >HL_35894.2 "5M3H|1|V|U|3","5M3H|1|V|A|4","5M3H|1|V|G|5","5M3H|1|V|U|6","5M3H|1|V|A|7","5M3H|1|V|A|8" "4WSB|1|V|U|3","4WSB|1|V|A|4","4WSB|1|V|G|5","4WSB|1|V|U|6","4WSB|1|V|A|7","4WSB|1|V|A|8" "4WRT|1|V|U|3","4WRT|1|V|A|4","4WRT|1|V|G|5","4WRT|1|V|U|6","4WRT|1|V|A|7","4WRT|1|V|A|8" "5FMZ|1|H|U|3","5FMZ|1|H|A|4","5FMZ|1|H|G|5","5FMZ|1|H|U|6","5FMZ|1|H|A|7","5FMZ|1|H|A|8" "5CZZ|1|B|C|59","5CZZ|1|B|A|60","5CZZ|1|B|A|61","5CZZ|1|B|A|62","5CZZ|1|B|A|63","5CZZ|1|B|G|65" "4KJI|1|C|G|5","4KJI|1|C|A|6","4KJI|1|C|G|8","4KJI|1|C|G|9","4KJI|1|C|A|10","4KJI|1|C|C|12" "5J7L|1|DA|C|225","5J7L|1|DA|A|226","5J7L|1|DA|A|227","5J7L|1|DA|C|228","5J7L|1|DA|C|229","5J7L|1|DA|G|230" >HL_35978.3 "4C4W|1|H|C|11","4C4W|1|H|A|12","4C4W|1|H|U|13","4C4W|1|H|U|14","4C4W|1|H|G|15","4C4W|1|H|A|17","4C4W|1|H|C|18","4C4W|1|H|U|19","4C4W|1|H|C|20","4C4W|1|H|C|21","4C4W|1|H|G|22" "1URN|1|Q|C|5","1URN|1|Q|A|6","1URN|1|Q|U|7","1URN|1|Q|U|8","1URN|1|Q|G|9","1URN|1|Q|A|11","1URN|1|Q|C|12","1URN|1|Q|U|13","1URN|1|Q|C|14","1URN|1|Q|C|15","1URN|1|Q|G|16" "5FJ4|1|H|C|11","5FJ4|1|H|A|12","5FJ4|1|H|U|13","5FJ4|1|H|U|14","5FJ4|1|H|G|15","5FJ4|1|H|A|17","5FJ4|1|H|C|18","5FJ4|1|H|U|19","5FJ4|1|H|C|20","5FJ4|1|H|C|21","5FJ4|1|H|G|22" "1M5K|1|B|C|35","1M5K|1|B|A|36","1M5K|1|B|U|37","1M5K|1|B|U|38","1M5K|1|B|G|39","1M5K|1|B|A|41","1M5K|1|B|C|42","1M5K|1|B|U|43","1M5K|1|B|C|44","1M5K|1|B|C|45","1M5K|1|B|G|46" "1U6B|1|B|C|1002","1U6B|1|B|A|1003","1U6B|1|B|U|1004","1U6B|1|B|U|1005","1U6B|1|B|G|1006","1U6B|1|B|A|1008","1U6B|1|B|C|1009","1U6B|1|B|U|1010","1U6B|1|B|C|1011","1U6B|1|B|C|1012","1U6B|1|B|G|1013" "5DDP|1|A|C|34","5DDP|1|A|A|35","5DDP|1|A|U|36","5DDP|1|A|U|37","5DDP|1|A|G|38","5DDP|1|A|A|40","5DDP|1|A|C|41","5DDP|1|A|U|42","5DDP|1|A|C|43","5DDP|1|A|C|44","5DDP|1|A|G|45" "3HHN|1|E|C|1004","3HHN|1|E|A|1005","3HHN|1|E|U|1006","3HHN|1|E|U|1007","3HHN|1|E|G|1008","3HHN|1|E|A|1010","3HHN|1|E|C|1011","3HHN|1|E|U|1012","3HHN|1|E|C|1013","3HHN|1|E|C|1014","3HHN|1|E|G|1015" "3CUL|1|C|C|29","3CUL|1|C|A|30","3CUL|1|C|U|31","3CUL|1|C|U|32","3CUL|1|C|G|33","3CUL|1|C|A|35","3CUL|1|C|C|36","3CUL|1|C|U|37","3CUL|1|C|C|38","3CUL|1|C|C|39","3CUL|1|C|G|40" "6F4H|1|B|C|6","6F4H|1|B|A|7","6F4H|1|B|U|8","6F4H|1|B|U|9","6F4H|1|B|G|10","6F4H|1|B|A|12","6F4H|1|B|C|13","6F4H|1|B|C|14","6F4H|1|B|U|15","6F4H|1|B|C|16","6F4H|1|B|G|17" "3G9C|1|Q|C|17|||A","3G9C|1|Q|A|17|||B","3G9C|1|Q|U|17|||C","3G9C|1|Q|U|17|||D","3G9C|1|Q|G|17|||E","3G9C|1|Q|A|17|||G","3G9C|1|Q|C|17|||H","3G9C|1|Q|U|17|||I","3G9C|1|Q|C|17|||J","3G9C|1|Q|C|17|||K","3G9C|1|Q|G|17|||L" "4PKD|1|V|C|64","4PKD|1|V|A|65","4PKD|1|V|U|66","4PKD|1|V|U|67","4PKD|1|V|G|68","4PKD|1|V|A|70","4PKD|1|V|C|71","4PKD|1|V|U|72","4PKD|1|V|C|73","4PKD|1|V|C|74","4PKD|1|V|G|75" "5DDO|1|A|C|34","5DDO|1|A|A|35","5DDO|1|A|U|36","5DDO|1|A|U|37","5DDO|1|A|G|38","5DDO|1|A|A|40","5DDO|1|A|C|41","5DDO|1|A|U|42","5DDO|1|A|C|43","5DDO|1|A|C|44","5DDO|1|A|G|45" "5DDO|1|B|C|34","5DDO|1|B|A|35","5DDO|1|B|U|36","5DDO|1|B|U|37","5DDO|1|B|G|38","5DDO|1|B|A|40","5DDO|1|B|C|41","5DDO|1|B|U|42","5DDO|1|B|C|43","5DDO|1|B|C|44","5DDO|1|B|G|45" >HL_36095.1 "5TBW|1|1|U|1347","5TBW|1|1|U|1348","5TBW|1|1|G|1349","5TBW|1|1|A|1350","5TBW|1|1|U|1351","5TBW|1|1|A|1352","5TBW|1|1|U|1353","5TBW|1|1|A|1355","5TBW|1|1|G|1357" >HL_36421.3 "5J7L|1|DA|A|2471","5J7L|1|DA|G|2472","5J7L|1|DA|U|2473","5J7L|1|DA|U|2474","5J7L|1|DA|C|2475","5J7L|1|DA|A|2476","5J7L|1|DA|U|2477","5J7L|1|DA|A|2478","5J7L|1|DA|U|2479" "4IOA|1|X|A|2450","4IOA|1|X|G|2451","4IOA|1|X|U|2452","4IOA|1|X|C|2453","4IOA|1|X|C|2454","4IOA|1|X|A|2455","4IOA|1|X|U|2456","4IOA|1|X|A|2457","4IOA|1|X|U|2458" "4Y4O|1|2A|C|2471","4Y4O|1|2A|G|2472","4Y4O|1|2A|U|2473","4Y4O|1|2A|C|2474","4Y4O|1|2A|C|2475","4Y4O|1|2A|A|2476","4Y4O|1|2A|C|2477","4Y4O|1|2A|A|2478","4Y4O|1|2A|G|2479" "4WF9|1|X|A|2498","4WF9|1|X|G|2499","4WF9|1|X|U|2500","4WF9|1|X|U|2501","4WF9|1|X|C|2502","4WF9|1|X|A|2503","4WF9|1|X|C|2504","4WF9|1|X|A|2505","4WF9|1|X|U|2506" "4V9F|1|0|A|2506","4V9F|1|0|G|2507","4V9F|1|0|C|2508","4V9F|1|0|A|2509","4V9F|1|0|C|2510","4V9F|1|0|A|2511","4V9F|1|0|U|2512","4V9F|1|0|A|2513","4V9F|1|0|U|2514" "5TBW|1|1|C|2840","5TBW|1|1|G|2841","5TBW|1|1|U|2842","5TBW|1|1|U|2843","5TBW|1|1|C|2844","5TBW|1|1|A|2845","5TBW|1|1|U|2846","5TBW|1|1|A|2847","5TBW|1|1|G|2848" >HL_36552.1 "2ZUE|1|B|C|931","2ZUE|1|B|C|932","2ZUE|1|B|U|936","2ZUE|1|B|A|937","2ZUE|1|B|A|938","2ZUE|1|B|G|939" >HL_36559.1 "4R4V|1|A|C|626","4R4V|1|A|G|627","4R4V|1|A|U|628","4R4V|1|A|G|630","4R4V|1|A|U|631","4R4V|1|A|C|632","4R4V|1|A|G|633","4R4V|1|A|G|634" "4R4V|1|A|C|626||||7_556","4R4V|1|A|G|627||||7_556","4R4V|1|A|U|628||||7_556","4R4V|1|A|G|630||||7_556","4R4V|1|A|U|631||||7_556","4R4V|1|A|C|632||||7_556","4R4V|1|A|G|633||||7_556","4R4V|1|A|G|634||||7_556" "6GSJ|1|3L|C|31","6GSJ|1|3L|U|32","6GSJ|1|3L|U|33","6GSJ|1|3L|G|35","6GSJ|1|3L|U|36","6GSJ|1|3L|A|37","6GSJ|1|3L|A|38","6GSJ|1|3L|G|39" "2DER|1|D|C|31","2DER|1|D|C|32","2DER|1|D|U|33","2DER|1|D|U|35","2DER|1|D|C|36","2DER|1|D|A|37","2DER|1|D|C|38","2DER|1|D|G|39" >HL_36982.2 "4ZLD|1|B|C|7","4ZLD|1|B|G|9","4ZLD|1|B|G|11" "4Z31|1|E|C|6","4Z31|1|E|G|8","4Z31|1|E|G|10" "4QIL|1|C|C|8","4QIL|1|C|G|10","4QIL|1|C|G|12" "4QI2|1|G|C|10","4QI2|1|G|G|12","4QI2|1|G|G|14" "4QOZ|1|A|U|11","4QOZ|1|A|U|14","4QOZ|1|A|A|16" "4TUW|1|C|U|13","4TUW|1|C|U|16","4TUW|1|C|A|18" "1ZBH|1|F|U|11","1ZBH|1|F|U|14","1ZBH|1|F|A|16" "5TBW|1|1|C|132","5TBW|1|1|U|134","5TBW|1|1|G|136" "5J7L|1|DB|G|86","5J7L|1|DB|C|88","5J7L|1|DB|C|90" >HL_37694.1 "3CUL|1|C|G|69","3CUL|1|C|U|70","3CUL|1|C|U|71","3CUL|1|C|C|72","3CUL|1|C|G|73","3CUL|1|C|A|74","3CUL|1|C|A|75","3CUL|1|C|U|76","3CUL|1|C|C|77" >HL_37846.1 "4PRF|1|B|C|119","4PRF|1|B|U|120","4PRF|1|B|C|121","4PRF|1|B|C|122","4PRF|1|B|C|124","4PRF|1|B|G|125","4PRF|1|B|G|128" >HL_38646.2 "3D2V|1|A|G|54","3D2V|1|A|G|55","3D2V|1|A|U|56","3D2V|1|A|A|57","3D2V|1|A|A|58","3D2V|1|A|U|59","3D2V|1|A|C|61" "3K0J|1|E|G|66","3K0J|1|E|A|67","3K0J|1|E|U|68","3K0J|1|E|A|69","3K0J|1|E|A|70","3K0J|1|E|U|71","3K0J|1|E|C|73" >HL_39334.3 "4WF9|1|X|C|789","4WF9|1|X|G|790","4WF9|1|X|U|791","4WF9|1|X|G|793","4WF9|1|X|A|794","4WF9|1|X|A|795","4WF9|1|X|G|798" "4IOA|1|X|U|757","4IOA|1|X|G|758","4IOA|1|X|C|759","4IOA|1|X|G|761","4IOA|1|X|A|762","4IOA|1|X|A|763","4IOA|1|X|A|766" "4Y4O|1|2A|G|744","4Y4O|1|2A|G|745","4Y4O|1|2A|A|746","4Y4O|1|2A|G|748","4Y4O|1|2A|C|749","4Y4O|1|2A|A|750","4Y4O|1|2A|C|753" "4V9F|1|0|U|837","4V9F|1|0|C|838","4V9F|1|0|C|839","4V9F|1|0|A|841","4V9F|1|0|C|842","4V9F|1|0|A|843","4V9F|1|0|A|846" "5TBW|1|1|A|876","5TBW|1|1|C|877","5TBW|1|1|G|878","5TBW|1|1|G|880","5TBW|1|1|C|881","5TBW|1|1|A|882","5TBW|1|1|U|885" >HL_39778.2 "3ADD|1|C|C|47|||D","3ADD|1|C|G|47|||E","3ADD|1|C|A|47|||F","3ADD|1|C|G|47|||G","3ADD|1|C|U|47|||H","3ADD|1|C|G|47|||I" "4XWF|1|A|G|24","4XWF|1|A|G|25","4XWF|1|A|A|26","4XWF|1|A|A|27","4XWF|1|A|A|28","4XWF|1|A|C|29" "2OEU|1|A|C|25","2OEU|1|A|C|26","2OEU|1|A|A|27","2OEU|1|A|U|30","2OEU|1|A|A|31","2OEU|1|A|G|32" "4RUM|1|A|C|27","4RUM|1|A|A|28","4RUM|1|A|G|29","4RUM|1|A|G|31","4RUM|1|A|C|32","4RUM|1|A|G|33" "4KR9|1|M|G|19","4KR9|1|M|G|20","4KR9|1|M|A|21","4KR9|1|M|A|22","4KR9|1|M|A|23","4KR9|1|M|C|24" >HL_40469.1 "2ZNI|1|C|C|13","2ZNI|1|C|G|14","2ZNI|1|C|U|19","2ZNI|1|C|G|21" "5U3G|1|B|C|18","5U3G|1|B|G|19","5U3G|1|B|A|22","5U3G|1|B|G|23" >HL_41017.1 "2UWM|1|D|G|22","2UWM|1|D|G|23","2UWM|1|D|U|24","2UWM|1|D|C|25" >HL_41285.1 "1U63|1|D|G|20","1U63|1|D|A|22","1U63|1|D|C|23","1U63|1|D|U|24","1U63|1|D|C|25" >HL_41778.1 "5HR6|1|C|U|12","5HR6|1|C|A|13","5HR6|1|C|G|14","5HR6|1|C|C|19","5HR6|1|C|A|21","5HR6|1|C|G|22" "5HR7|1|D|U|13","5HR7|1|D|A|14","5HR7|1|D|G|15","5HR7|1|D|C|20","5HR7|1|D|A|21","5HR7|1|D|G|22" "2DER|1|D|U|13","2DER|1|D|A|14","2DER|1|D|G|15","2DER|1|D|C|20","2DER|1|D|A|21","2DER|1|D|G|22" "1N78|1|C|U|513","1N78|1|C|A|514","1N78|1|C|G|515","1N78|1|C|U|520","1N78|1|C|A|521","1N78|1|C|G|522" >HL_42211.1 "4WSM|1|1K|U|11","4WSM|1|1K|G|12","4WSM|1|1K|G|13","4WSM|1|1K|A|14","4WSM|1|1K|A|15","4WSM|1|1K|U|16","4WSM|1|1K|G|19","4WSM|1|1K|U|20","4WSM|1|1K|A|21","4WSM|1|1K|G|22","4WSM|1|1K|A|23","4WSM|1|1K|C|24","4WSM|1|1K|A|25" >HL_42671.1 "4WF9|1|X|A|427","4WF9|1|X|G|428","4WF9|1|X|C|429","4WF9|1|X|A|430","4WF9|1|X|C|431","4WF9|1|X|U|433","4WF9|1|X|G|434","4WF9|1|X|A|436","4WF9|1|X|A|437","4WF9|1|X|U|438","4WF9|1|X|U|439" >HL_42733.1 "4WF9|1|X|A|1673","4WF9|1|X|U|1674","4WF9|1|X|G|1675","4WF9|1|X|A|1676","4WF9|1|X|G|1677","4WF9|1|X|A|1679","4WF9|1|X|U|1680","4WF9|1|X|U|1681" "5J7L|1|AA|G|462","5J7L|1|AA|U|463","5J7L|1|AA|U|464","5J7L|1|AA|A|465","5J7L|1|AA|A|466","5J7L|1|AA|A|468","5J7L|1|AA|C|469","5J7L|1|AA|C|470" "4V9F|1|0|G|1557","4V9F|1|0|C|1558","4V9F|1|0|A|1559","4V9F|1|0|U|1560","4V9F|1|0|U|1561","4V9F|1|0|C|1562","4V9F|1|0|G|1563","4V9F|1|0|C|1564" "1QTQ|1|B|A|931","1QTQ|1|B|U|932","1QTQ|1|B|U|933","1QTQ|1|B|C|934","1QTQ|1|B|U|935","1QTQ|1|B|A|937","1QTQ|1|B|U|938","1QTQ|1|B|U|939" >HL_43074.3 "5TBW|1|1|U|382","5TBW|1|1|G|383","5TBW|1|1|A|384","5TBW|1|1|A|385","5TBW|1|1|A|386","5TBW|1|1|A|387" "4V9F|1|0|C|804","4V9F|1|0|G|805","4V9F|1|0|A|806","4V9F|1|0|A|807","4V9F|1|0|A|808","4V9F|1|0|G|809" "5X2G|1|B|U|32","5X2G|1|B|G|33","5X2G|1|B|A|34","5X2G|1|B|A|35","5X2G|1|B|A|36","5X2G|1|B|A|37" "5UZ6|1|A|C|15","5UZ6|1|A|G|16","5UZ6|1|A|A|17","5UZ6|1|A|A|18","5UZ6|1|A|A|19","5UZ6|1|A|G|20" "5TPY|1|A|C|62||||4_655","5TPY|1|A|G|63||||4_655","5TPY|1|A|A|64||||4_655","5TPY|1|A|A|65||||4_655","5TPY|1|A|A|66||||4_655","5TPY|1|A|G|67||||4_655" "5TPY|1|A|C|62||||11_655","5TPY|1|A|G|63||||11_655","5TPY|1|A|A|64||||11_655","5TPY|1|A|A|65||||11_655","5TPY|1|A|A|66||||11_655","5TPY|1|A|G|67||||11_655" "5TPY|1|A|C|62||||8_555","5TPY|1|A|G|63||||8_555","5TPY|1|A|A|64||||8_555","5TPY|1|A|A|65||||8_555","5TPY|1|A|A|66||||8_555","5TPY|1|A|G|67||||8_555" "5TPY|1|A|C|62","5TPY|1|A|G|63","5TPY|1|A|A|64","5TPY|1|A|A|65","5TPY|1|A|A|66","5TPY|1|A|G|67" "3MXH|1|R|C|31","3MXH|1|R|G|32","3MXH|1|R|A|33","3MXH|1|R|A|34","3MXH|1|R|A|35","3MXH|1|R|G|36" "1RLG|1|D|C|9","1RLG|1|D|G|10","1RLG|1|D|A|11","1RLG|1|D|A|12","1RLG|1|D|A|13","1RLG|1|D|G|14" "4R4V|1|A|C|735","4R4V|1|A|G|736","4R4V|1|A|U|737","4R4V|1|A|A|738","4R4V|1|A|A|739","4R4V|1|A|G|740" "4R4V|1|A|C|735||||7_556","4R4V|1|A|G|736||||7_556","4R4V|1|A|U|737||||7_556","4R4V|1|A|A|738||||7_556","4R4V|1|A|A|739||||7_556","4R4V|1|A|G|740||||7_556" "4ENC|1|A|U|28","4ENC|1|A|G|29","4ENC|1|A|A|30","4ENC|1|A|A|31","4ENC|1|A|A|32","4ENC|1|A|A|33" "4PLX|1|A|C|24","4PLX|1|A|G|25","4PLX|1|A|A|26","4PLX|1|A|A|27","4PLX|1|A|A|28","4PLX|1|A|G|29" "5TPY|1|A|C|13","5TPY|1|A|G|14","5TPY|1|A|A|15","5TPY|1|A|A|16","5TPY|1|A|A|17","5TPY|1|A|G|18" "5TPY|1|A|C|13||||8_555","5TPY|1|A|G|14||||8_555","5TPY|1|A|A|15||||8_555","5TPY|1|A|A|16||||8_555","5TPY|1|A|A|17||||8_555","5TPY|1|A|G|18||||8_555" "5TPY|1|A|C|13||||11_655","5TPY|1|A|G|14||||11_655","5TPY|1|A|A|15||||11_655","5TPY|1|A|A|16||||11_655","5TPY|1|A|A|17||||11_655","5TPY|1|A|G|18||||11_655" "5TPY|1|A|C|13||||4_655","5TPY|1|A|G|14||||4_655","5TPY|1|A|A|15||||4_655","5TPY|1|A|A|16||||4_655","5TPY|1|A|A|17||||4_655","5TPY|1|A|G|18||||4_655" "3IWN|1|A|C|21","3IWN|1|A|G|22","3IWN|1|A|A|23","3IWN|1|A|A|24","3IWN|1|A|A|25","3IWN|1|A|G|26" "5Y7M|1|D|C|24","5Y7M|1|D|G|25","5Y7M|1|D|A|26","5Y7M|1|D|A|27","5Y7M|1|D|A|28","5Y7M|1|D|G|29" "5J7L|1|AA|C|379","5J7L|1|AA|G|380","5J7L|1|AA|C|381","5J7L|1|AA|A|382","5J7L|1|AA|A|383","5J7L|1|AA|G|384" "4RUM|1|A|C|51","4RUM|1|A|G|52","4RUM|1|A|A|53","4RUM|1|A|A|54","4RUM|1|A|A|55","4RUM|1|A|G|56" "1G1X|1|D|C|590","1G1X|1|D|G|591","1G1X|1|D|A|592","1G1X|1|D|A|593","1G1X|1|D|A|594","1G1X|1|D|G|649" "3NMU|1|E|C|18","3NMU|1|E|G|19","3NMU|1|E|A|20","3NMU|1|E|A|21","3NMU|1|E|A|22","3NMU|1|E|G|23" "2OIU|1|P|C|10","2OIU|1|P|G|11","2OIU|1|P|A|12","2OIU|1|P|A|13","2OIU|1|P|A|14","2OIU|1|P|G|15" "4LFB|1|A|C|1165","4LFB|1|A|G|1166","4LFB|1|A|A|1167","4LFB|1|A|A|1168","4LFB|1|A|A|1169","4LFB|1|A|G|1171" "5B2P|1|B|C|35","5B2P|1|B|G|36","5B2P|1|B|A|37","5B2P|1|B|A|38","5B2P|1|B|A|39","5B2P|1|B|G|40" "4Y1M|1|B|U|55","4Y1M|1|B|G|56","4Y1M|1|B|A|57","4Y1M|1|B|A|58","4Y1M|1|B|A|59","4Y1M|1|B|A|60" "4NLF|1|A|C|2658","4NLF|1|A|G|2659","4NLF|1|A|A|2660","4NLF|1|A|G|2661","4NLF|1|A|A|2662","4NLF|1|A|G|2663" "5B2T|1|A|U|72","5B2T|1|A|G|73","5B2T|1|A|A|74","5B2T|1|A|A|75","5B2T|1|A|A|76","5B2T|1|A|A|77" "5M0I|1|F|C|12","5M0I|1|F|G|13","5M0I|1|F|A|14","5M0I|1|F|A|15","5M0I|1|F|A|16","5M0I|1|F|G|17" "5M0I|1|E|C|12","5M0I|1|E|G|13","5M0I|1|E|A|14","5M0I|1|E|A|15","5M0I|1|E|A|16","5M0I|1|E|G|17" "4JF2|1|A|C|8","4JF2|1|A|G|9","4JF2|1|A|A|10","4JF2|1|A|A|11","4JF2|1|A|A|12","4JF2|1|A|G|13" "5D5L|1|A|C|8","5D5L|1|A|G|9","5D5L|1|A|A|10","5D5L|1|A|A|11","5D5L|1|A|A|12","5D5L|1|A|G|13" "3NVI|1|F|C|9","3NVI|1|F|G|10","3NVI|1|F|A|11","3NVI|1|F|A|12","3NVI|1|F|A|13","3NVI|1|F|G|14" "5J7L|1|AA|C|186","5J7L|1|AA|G|187","5J7L|1|AA|C|188","5J7L|1|AA|A|189","5J7L|1|AA|A|190","5J7L|1|AA|G|191" "5TBW|1|1|C|3025","5TBW|1|1|G|3026","5TBW|1|1|A|3027","5TBW|1|1|G|3028","5TBW|1|1|A|3029","5TBW|1|1|G|3030" "5TBW|1|1|C|732","5TBW|1|1|G|733","5TBW|1|1|C|734","5TBW|1|1|A|735","5TBW|1|1|A|736","5TBW|1|1|G|737" "5VCI|1|A|C|16","5VCI|1|A|G|17","5VCI|1|A|A|18","5VCI|1|A|A|19","5VCI|1|A|A|20","5VCI|1|A|G|21" "3NDB|1|M|C|208","3NDB|1|M|G|209","3NDB|1|M|G|210","3NDB|1|M|A|211","3NDB|1|M|A|212","3NDB|1|M|G|213" "4V9F|1|0|C|2248","4V9F|1|0|G|2249","4V9F|1|0|G|2250","4V9F|1|0|G|2251","4V9F|1|0|A|2252","4V9F|1|0|G|2253" "1KH6|1|A|C|28","1KH6|1|A|G|29","1KH6|1|A|A|30","1KH6|1|A|A|31","1KH6|1|A|A|32","1KH6|1|A|G|33" "4Y1M|1|B|C|24","4Y1M|1|B|G|25","4Y1M|1|B|A|26","4Y1M|1|B|A|27","4Y1M|1|B|A|28","4Y1M|1|B|G|29" "5B2T|1|A|A|32","5B2T|1|A|G|33","5B2T|1|A|A|34","5B2T|1|A|A|35","5B2T|1|A|A|36","5B2T|1|A|U|37" "5TBW|1|1|C|599","5TBW|1|1|G|600","5TBW|1|1|U|601","5TBW|1|1|A|602","5TBW|1|1|A|603","5TBW|1|1|G|604" "5J7L|1|DA|C|2658","5J7L|1|DA|G|2659","5J7L|1|DA|A|2660","5J7L|1|DA|G|2661","5J7L|1|DA|A|2662","5J7L|1|DA|G|2663" "1UN6|1|F|G|82","1UN6|1|F|G|83","1UN6|1|F|A|84","1UN6|1|F|A|85","1UN6|1|F|A|86","1UN6|1|F|C|94" "4Y4O|1|2A|C|2658","4Y4O|1|2A|G|2659","4Y4O|1|2A|A|2660","4Y4O|1|2A|G|2661","4Y4O|1|2A|A|2662","4Y4O|1|2A|G|2663" "4Y4O|1|2A|C|1806","4Y4O|1|2A|G|1807","4Y4O|1|2A|U|1808","4Y4O|1|2A|A|1809","4Y4O|1|2A|A|1810","4Y4O|1|2A|G|1811" "4QK8|1|A|C|13","4QK8|1|A|G|14","4QK8|1|A|A|15","4QK8|1|A|A|16","4QK8|1|A|A|17","4QK8|1|A|G|18" "4IOA|1|X|C|2637","4IOA|1|X|G|2638","4IOA|1|X|A|2639","4IOA|1|X|G|2640","4IOA|1|X|A|2641","4IOA|1|X|G|2642" "1Q96|1|A|U|13","1Q96|1|A|G|14","1Q96|1|A|A|15","1Q96|1|A|G|16","1Q96|1|A|A|17","1Q96|1|A|A|18" "4V88|1|A6|C|1121","4V88|1|A6|G|1122","4V88|1|A6|C|1123","4V88|1|A6|A|1124","4V88|1|A6|A|1125","4V88|1|A6|G|1126" "4V9F|1|9|C|89","4V9F|1|9|G|90","4V9F|1|9|C|91","4V9F|1|9|G|92","4V9F|1|9|A|93","4V9F|1|9|G|94" "4W90|1|C|C|82","4W90|1|C|G|83","4W90|1|C|A|84","4W90|1|C|A|85","4W90|1|C|A|86","4W90|1|C|G|87" "1MZP|1|B|C|25","1MZP|1|B|G|26","1MZP|1|B|C|27","1MZP|1|B|A|28","1MZP|1|B|A|29","1MZP|1|B|G|30" "4FRG|1|B|C|46","4FRG|1|B|G|47","4FRG|1|B|A|48","4FRG|1|B|A|49","4FRG|1|B|A|50","4FRG|1|B|G|51" "5M0J|1|F|C|12","5M0J|1|F|G|13","5M0J|1|F|A|14","5M0J|1|F|A|15","5M0J|1|F|A|16","5M0J|1|F|G|17" "4V9F|1|0|C|2695","4V9F|1|0|G|2696","4V9F|1|0|A|2697","4V9F|1|0|G|2698","4V9F|1|0|A|2699","4V9F|1|0|G|2700" "4Y4O|1|2A|C|2374","4Y4O|1|2A|G|2375","4Y4O|1|2A|A|2376","4Y4O|1|2A|A|2377","4Y4O|1|2A|A|2378","4Y4O|1|2A|G|2379" "2EZ6|1|D|C|11","2EZ6|1|D|G|12","2EZ6|1|D|C|13","2EZ6|1|D|A|14","2EZ6|1|D|A|15","2EZ6|1|D|G|16" "3LQX|1|B|C|153","3LQX|1|B|G|154","3LQX|1|B|A|155","3LQX|1|B|A|156","3LQX|1|B|A|157","3LQX|1|B|G|158" "3AM1|1|B|C|45||||5_555","3AM1|1|B|G|46||||5_555","3AM1|1|B|A|47||||5_555","3AM1|1|B|G|48||||5_555","3AM1|1|B|A|49||||5_555","3AM1|1|B|G|50||||5_555" "3AM1|1|B|C|45","3AM1|1|B|G|46","3AM1|1|B|A|47","3AM1|1|B|G|48","3AM1|1|B|A|49","3AM1|1|B|G|50" "4LFB|1|A|C|379","4LFB|1|A|G|380","4LFB|1|A|C|381","4LFB|1|A|A|382","4LFB|1|A|A|383","4LFB|1|A|G|384" "2YGH|1|A|A|49","2YGH|1|A|G|50","2YGH|1|A|A|51","2YGH|1|A|A|52","2YGH|1|A|A|53","2YGH|1|A|U|54" "2YGH|1|A|A|49||||8_554","2YGH|1|A|G|50||||8_554","2YGH|1|A|A|51||||8_554","2YGH|1|A|A|52||||8_554","2YGH|1|A|A|53||||8_554","2YGH|1|A|U|54||||8_554" "4LFB|1|A|C|897","4LFB|1|A|G|898","4LFB|1|A|C|899","4LFB|1|A|A|900","4LFB|1|A|A|901","4LFB|1|A|G|902" "2YGH|1|A|G|73","2YGH|1|A|G|74","2YGH|1|A|A|75","2YGH|1|A|A|76","2YGH|1|A|A|77","2YGH|1|A|C|78" "2YGH|1|A|G|73||||8_554","2YGH|1|A|G|74||||8_554","2YGH|1|A|A|75||||8_554","2YGH|1|A|A|76||||8_554","2YGH|1|A|A|77||||8_554","2YGH|1|A|C|78||||8_554" "3PDR|1|X|C|68","3PDR|1|X|C|69","3PDR|1|X|A|70","3PDR|1|X|A|71","3PDR|1|X|A|72","3PDR|1|X|G|73" "4K50|1|B|U|587","4K50|1|B|G|588","4K50|1|B|A|589","4K50|1|B|A|590","4K50|1|B|A|591","4K50|1|B|G|592" "5F9R|1|A|U|90","5F9R|1|A|G|91","5F9R|1|A|A|92","5F9R|1|A|A|93","5F9R|1|A|A|94","5F9R|1|A|A|95" "5TBW|1|AS|G|88","5TBW|1|AS|G|89","5TBW|1|AS|U|90","5TBW|1|AS|G|91","5TBW|1|AS|A|92","5TBW|1|AS|C|93" "5M0J|1|E|C|12","5M0J|1|E|G|13","5M0J|1|E|A|14","5M0J|1|E|A|15","5M0J|1|E|A|16","5M0J|1|E|G|17" "4AOB|1|A|G|73","4AOB|1|A|G|74","4AOB|1|A|A|75","4AOB|1|A|A|76","4AOB|1|A|A|77","4AOB|1|A|C|78" "4AOB|1|A|G|73||||7_555","4AOB|1|A|G|74||||7_555","4AOB|1|A|A|75||||7_555","4AOB|1|A|A|76||||7_555","4AOB|1|A|A|77||||7_555","4AOB|1|A|C|78||||7_555" "4WF9|1|X|C|2685","4WF9|1|X|G|2686","4WF9|1|X|A|2687","4WF9|1|X|G|2688","4WF9|1|X|A|2689","4WF9|1|X|G|2690" "5J7L|1|AA|C|897","5J7L|1|AA|G|898","5J7L|1|AA|C|899","5J7L|1|AA|A|900","5J7L|1|AA|A|901","5J7L|1|AA|G|902" "4V88|1|A6|A|1084","4V88|1|A6|G|1085","4V88|1|A6|A|1086","4V88|1|A6|A|1087","4V88|1|A6|A|1088","4V88|1|A6|U|1089" "5FJC|1|A|A|49","5FJC|1|A|G|50","5FJC|1|A|A|51","5FJC|1|A|A|52","5FJC|1|A|A|53","5FJC|1|A|U|54" "5J7L|1|DA|G|629","5J7L|1|DA|G|630","5J7L|1|DA|A|631","5J7L|1|DA|A|632","5J7L|1|DA|A|633","5J7L|1|DA|C|634" "2IL9|1|A|C|6119","2IL9|1|A|G|6119|||A","2IL9|1|A|A|6119|||B","2IL9|1|A|A|6119|||C","2IL9|1|A|A|6119|||D","2IL9|1|A|G|6119|||E" "4V9F|1|0|C|1862","4V9F|1|0|G|1863","4V9F|1|0|C|1864","4V9F|1|0|A|1865","4V9F|1|0|A|1866","4V9F|1|0|G|1867" "4BW0|1|A|C|9","4BW0|1|A|G|10","4BW0|1|A|A|11","4BW0|1|A|A|12","4BW0|1|A|A|13","4BW0|1|A|G|14" "4OQU|1|A|G|13","4OQU|1|A|G|14","4OQU|1|A|A|15","4OQU|1|A|G|16","4OQU|1|A|A|17","4OQU|1|A|C|18" "5FJC|1|A|G|73","5FJC|1|A|G|74","5FJC|1|A|A|75","5FJC|1|A|A|76","5FJC|1|A|A|77","5FJC|1|A|C|78" "4LFB|1|A|C|862","4LFB|1|A|U|863","4LFB|1|A|A|864","4LFB|1|A|A|865","4LFB|1|A|C|866","4LFB|1|A|G|867" "4P95|1|A|C|317","4P95|1|A|U|318","4P95|1|A|A|319","4P95|1|A|A|320","4P95|1|A|C|321","4P95|1|A|G|322" "3KTW|1|C|C|209","3KTW|1|C|G|210","3KTW|1|C|G|211","3KTW|1|C|A|212","3KTW|1|C|A|213","3KTW|1|C|G|214" "5TBW|1|1|C|1023","5TBW|1|1|G|1024","5TBW|1|1|A|1025","5TBW|1|1|A|1026","5TBW|1|1|A|1027","5TBW|1|1|G|1029" "4AOB|1|A|A|49","4AOB|1|A|A|50","4AOB|1|A|A|51","4AOB|1|A|A|52","4AOB|1|A|A|53","4AOB|1|A|U|54" "4AOB|1|A|A|49||||7_555","4AOB|1|A|A|50||||7_555","4AOB|1|A|A|51||||7_555","4AOB|1|A|A|52||||7_555","4AOB|1|A|A|53||||7_555","4AOB|1|A|U|54||||7_555" "4Y4O|1|2A|C|487","4Y4O|1|2A|G|488","4Y4O|1|2A|G|489","4Y4O|1|2A|G|491","4Y4O|1|2A|A|492","4Y4O|1|2A|G|493" "4Y4O|1|2A|G|629","4Y4O|1|2A|G|630","4Y4O|1|2A|A|631","4Y4O|1|2A|A|632","4Y4O|1|2A|A|633","4Y4O|1|2A|C|634" "5DDP|1|A|G|11","5DDP|1|A|G|12","5DDP|1|A|A|13","5DDP|1|A|A|14","5DDP|1|A|A|15","5DDP|1|A|C|16" "3IGI|1|A|G|274","3IGI|1|A|G|275","3IGI|1|A|A|276","3IGI|1|A|A|277","3IGI|1|A|A|278","3IGI|1|A|C|279" "5DDO|1|A|G|11","5DDO|1|A|G|12","5DDO|1|A|A|13","5DDO|1|A|A|14","5DDO|1|A|A|15","5DDO|1|A|C|16" "1KXK|1|A|U|33","1KXK|1|A|G|34","1KXK|1|A|A|35","1KXK|1|A|A|36","1KXK|1|A|A|37","1KXK|1|A|G|38" "4WF9|1|X|C|533","4WF9|1|X|G|534","4WF9|1|X|G|535","4WF9|1|X|A|536","4WF9|1|X|A|537","4WF9|1|X|G|538" "4V9F|1|0|C|252","4V9F|1|0|U|253","4V9F|1|0|C|254","4V9F|1|0|A|255","4V9F|1|0|C|256","4V9F|1|0|G|257" "4V9F|1|0|G|1628","4V9F|1|0|G|1629","4V9F|1|0|A|1630","4V9F|1|0|A|1631","4V9F|1|0|A|1632","4V9F|1|0|C|1633" "4OQU|1|A|G|57","4OQU|1|A|G|58","4OQU|1|A|A|59","4OQU|1|A|G|60","4OQU|1|A|A|61","4OQU|1|A|C|62" "4WF9|1|X|C|2401","4WF9|1|X|G|2402","4WF9|1|X|A|2403","4WF9|1|X|A|2404","4WF9|1|X|A|2405","4WF9|1|X|G|2406" "5CZZ|1|B|G|34","5CZZ|1|B|G|35","5CZZ|1|B|A|36","5CZZ|1|B|A|37","5CZZ|1|B|A|38","5CZZ|1|B|C|39" "3SUX|1|X|C|65","3SUX|1|X|G|66","3SUX|1|X|A|67","3SUX|1|X|A|68","3SUX|1|X|A|69","3SUX|1|X|G|70" "3SUX|1|X|C|65||||17_555","3SUX|1|X|G|66||||17_555","3SUX|1|X|A|67||||17_555","3SUX|1|X|A|68||||17_555","3SUX|1|X|A|69||||17_555","3SUX|1|X|G|70||||17_555" "4V9F|1|0|C|1793","4V9F|1|0|G|1794","4V9F|1|0|G|1795","4V9F|1|0|A|1796","4V9F|1|0|A|1797","4V9F|1|0|G|1799" "5J7L|1|AA|C|862","5J7L|1|AA|U|863","5J7L|1|AA|A|864","5J7L|1|AA|A|865","5J7L|1|AA|C|866","5J7L|1|AA|G|867" "3D0U|1|A|C|142","3D0U|1|A|G|143","3D0U|1|A|A|144","3D0U|1|A|A|145","3D0U|1|A|A|146","3D0U|1|A|G|147" "4K27|1|U|U|26","4K27|1|U|G|27","4K27|1|U|A|28","4K27|1|U|A|29","4K27|1|U|A|30","4K27|1|U|G|31" "6CB3|1|B|G|50","6CB3|1|B|G|51","6CB3|1|B|A|52","6CB3|1|B|A|53","6CB3|1|B|A|54","6CB3|1|B|C|55" "4PCJ|1|A|U|16","4PCJ|1|A|G|17","4PCJ|1|A|A|18","4PCJ|1|A|A|19","4PCJ|1|A|A|20","4PCJ|1|A|G|21" "4V9F|1|0|G|1054","4V9F|1|0|G|1055","4V9F|1|0|U|1056","4V9F|1|0|A|1057","4V9F|1|0|A|1058","4V9F|1|0|C|1060" "1U9S|1|A|G|204","1U9S|1|A|G|205","1U9S|1|A|C|206","1U9S|1|A|A|207","1U9S|1|A|A|208","1U9S|1|A|C|209" "5TBW|1|1|A|2220","5TBW|1|1|G|2221","5TBW|1|1|A|2222","5TBW|1|1|A|2223","5TBW|1|1|A|2224","5TBW|1|1|U|2225" "4Y4O|1|2A|C|955","4Y4O|1|2A|G|956","4Y4O|1|2A|A|957","4Y4O|1|2A|A|959","4Y4O|1|2A|A|960","4Y4O|1|2A|G|962" "2R8S|1|R|G|149","2R8S|1|R|G|150","2R8S|1|R|A|151","2R8S|1|R|A|152","2R8S|1|R|A|153","2R8S|1|R|C|154" "3V7E|1|C|G|105","3V7E|1|C|G|106","3V7E|1|C|A|107","3V7E|1|C|A|108","3V7E|1|C|A|109","3V7E|1|C|C|110" "3CUL|1|C|C|7","3CUL|1|C|G|8","3CUL|1|C|A|9","3CUL|1|C|A|10","3CUL|1|C|A|11","3CUL|1|C|G|12" "1U6B|1|B|G|23","1U6B|1|B|G|24","1U6B|1|B|A|25","1U6B|1|B|A|26","1U6B|1|B|A|27","1U6B|1|B|C|28" "4ZT0|1|D|A|32","4ZT0|1|D|G|33","4ZT0|1|D|A|34","4ZT0|1|D|A|35","4ZT0|1|D|A|36","4ZT0|1|D|U|37" "3P22|1|A|C|17","3P22|1|A|G|18","3P22|1|A|A|19","3P22|1|A|A|20","3P22|1|A|A|21","3P22|1|A|G|22" "5BTM|1|A|U|26","5BTM|1|A|G|27","5BTM|1|A|A|28","5BTM|1|A|A|29","5BTM|1|A|A|30","5BTM|1|A|G|31" "5TBW|1|1|A|2164","5TBW|1|1|G|2165","5TBW|1|1|A|2166","5TBW|1|1|A|2167","5TBW|1|1|A|2168","5TBW|1|1|U|2170" "5TBW|1|1|G|844","5TBW|1|1|G|845","5TBW|1|1|A|846","5TBW|1|1|A|847","5TBW|1|1|A|848","5TBW|1|1|C|849" "5F9F|1|F|A|10","5F9F|1|F|G|11","5F9F|1|F|U|12","5F9F|1|F|G|13","5F9F|1|F|A|14","5F9F|1|F|U|15" "4Y1M|1|B|A|81","4Y1M|1|B|G|82","4Y1M|1|B|A|83","4Y1M|1|B|A|84","4Y1M|1|B|A|85","4Y1M|1|B|U|86" "4WF9|1|X|C|674","4WF9|1|X|G|675","4WF9|1|X|A|676","4WF9|1|X|A|677","4WF9|1|X|A|678","4WF9|1|X|G|679" "4V9F|1|0|G|690","4V9F|1|0|G|691","4V9F|1|0|A|692","4V9F|1|0|A|693","4V9F|1|0|A|694","4V9F|1|0|C|695" "5J7L|1|AA|G|158","5J7L|1|AA|G|159","5J7L|1|AA|A|160","5J7L|1|AA|A|161","5J7L|1|AA|A|162","5J7L|1|AA|C|163" "5J7L|1|DA|C|2374","5J7L|1|DA|G|2375","5J7L|1|DA|A|2376","5J7L|1|DA|A|2377","5J7L|1|DA|A|2378","5J7L|1|DA|G|2379" "4V9F|1|0|C|2411","4V9F|1|0|G|2412","4V9F|1|0|A|2413","4V9F|1|0|A|2414","4V9F|1|0|A|2415","4V9F|1|0|G|2416" "3MOJ|1|A|G|2529","3MOJ|1|A|G|2530","3MOJ|1|A|A|2531","3MOJ|1|A|A|2532","3MOJ|1|A|A|2533","3MOJ|1|A|C|2534" "3G9C|1|Q|G|108","3G9C|1|Q|G|109","3G9C|1|Q|U|110","3G9C|1|Q|G|111","3G9C|1|Q|A|112","3G9C|1|Q|C|113" "5M0H|1|A|U|21","5M0H|1|A|G|22","5M0H|1|A|A|23","5M0H|1|A|A|24","5M0H|1|A|A|25","5M0H|1|A|G|26" "4WFL|1|A|G|81","4WFL|1|A|G|82","4WFL|1|A|U|83","4WFL|1|A|A|84","4WFL|1|A|A|85","4WFL|1|A|C|86" "5J7L|1|DA|A|2856","5J7L|1|DA|G|2857","5J7L|1|DA|C|2858","5J7L|1|DA|G|2859","5J7L|1|DA|A|2860","5J7L|1|DA|U|2861" "6DME|1|A|C|25","6DME|1|A|G|26","6DME|1|A|A|27","6DME|1|A|G|28","6DME|1|A|A|29","6DME|1|A|G|30" "1U9S|1|A|G|99","1U9S|1|A|G|100","1U9S|1|A|U|101","1U9S|1|A|A|102","1U9S|1|A|A|103","1U9S|1|A|C|104" "3E5C|1|A|G|18","3E5C|1|A|G|19","3E5C|1|A|A|20","3E5C|1|A|A|21","3E5C|1|A|A|22","3E5C|1|A|C|23" "1NBS|1|B|A|204","1NBS|1|B|G|205","1NBS|1|B|A|206","1NBS|1|B|A|207","1NBS|1|B|A|208","1NBS|1|B|U|209" "5FQ5|1|A|A|32","5FQ5|1|A|G|33","5FQ5|1|A|A|34","5FQ5|1|A|A|35","5FQ5|1|A|A|36","5FQ5|1|A|U|37" "4LFB|1|A|G|158","4LFB|1|A|G|159","4LFB|1|A|A|160","4LFB|1|A|A|161","4LFB|1|A|A|162","4LFB|1|A|C|163" "4LFB|1|A|G|1265","4LFB|1|A|G|1266","4LFB|1|A|C|1267","4LFB|1|A|A|1268","4LFB|1|A|A|1269","4LFB|1|A|C|1270" "4V9F|1|0|G|2876","4V9F|1|0|G|2877","4V9F|1|0|U|2878","4V9F|1|0|A|2879","4V9F|1|0|A|2880","4V9F|1|0|C|2881" "1MFQ|1|A|G|197","1MFQ|1|A|G|198","1MFQ|1|A|A|199","1MFQ|1|A|A|200","1MFQ|1|A|A|201","1MFQ|1|A|C|202" "5XTM|1|B|G|24","5XTM|1|B|G|25","5XTM|1|B|A|26","5XTM|1|B|A|27","5XTM|1|B|A|28","5XTM|1|B|C|29" "3RW6|1|H|G|27","3RW6|1|H|G|28","3RW6|1|H|A|29","3RW6|1|H|A|30","3RW6|1|H|A|31","3RW6|1|H|C|32" "4IOA|1|X|A|966","4IOA|1|X|G|967","4IOA|1|X|C|968","4IOA|1|X|A|970","4IOA|1|X|A|971","4IOA|1|X|U|973" "4Y4O|1|2A|C|462","4Y4O|1|2A|G|463","4Y4O|1|2A|U|464","4Y4O|1|2A|G|465","4Y4O|1|2A|A|466","4Y4O|1|2A|G|467" "4P95|1|A|C|567","4P95|1|A|G|568","4P95|1|A|A|569","4P95|1|A|A|570","4P95|1|A|A|571","4P95|1|A|G|572" "5FQ5|1|A|U|72","5FQ5|1|A|G|73","5FQ5|1|A|A|74","5FQ5|1|A|A|75","5FQ5|1|A|A|76","5FQ5|1|A|A|77" "5U3G|1|B|C|47","5U3G|1|B|G|48","5U3G|1|B|A|49","5U3G|1|B|A|50","5U3G|1|B|A|51","5U3G|1|B|G|52" "5TBW|1|1|A|357","5TBW|1|1|G|358","5TBW|1|1|U|359","5TBW|1|1|G|360","5TBW|1|1|A|361","5TBW|1|1|U|362" "5J7L|1|DA|C|462","5J7L|1|DA|G|463","5J7L|1|DA|U|464","5J7L|1|DA|G|465","5J7L|1|DA|A|466","5J7L|1|DA|G|467" "5XTM|1|D|G|24","5XTM|1|D|G|25","5XTM|1|D|A|26","5XTM|1|D|A|27","5XTM|1|D|A|28","5XTM|1|D|C|29" "4IOA|1|X|A|2831","4IOA|1|X|G|2832","4IOA|1|X|C|2833","4IOA|1|X|A|2834","4IOA|1|X|A|2835","4IOA|1|X|U|2836" "5DCV|1|D|G|26","5DCV|1|D|G|27","5DCV|1|D|A|28","5DCV|1|D|A|29","5DCV|1|D|A|30","5DCV|1|D|C|31" "2OIU|1|P|C|59","2OIU|1|P|G|60","2OIU|1|P|A|61","2OIU|1|P|A|62","2OIU|1|P|A|63","2OIU|1|P|G|64" "3IGI|1|A|G|89","3IGI|1|A|G|90","3IGI|1|A|C|91","3IGI|1|A|G|92","3IGI|1|A|A|93","3IGI|1|A|C|94" "5J7L|1|AA|U|296","5J7L|1|AA|G|297","5J7L|1|AA|A|298","5J7L|1|AA|G|299","5J7L|1|AA|A|300","5J7L|1|AA|G|301" "6CB3|1|B|C|76","6CB3|1|B|G|77","6CB3|1|B|A|78","6CB3|1|B|A|79","6CB3|1|B|A|80","6CB3|1|B|G|81" "6CU1|1|A|C|42","6CU1|1|A|G|43","6CU1|1|A|A|44","6CU1|1|A|A|45","6CU1|1|A|A|46","6CU1|1|A|G|47" "4WF9|1|X|U|999","4WF9|1|X|G|1000","4WF9|1|X|A|1001","4WF9|1|X|A|1003","4WF9|1|X|A|1004","4WF9|1|X|G|1006" "4LFB|1|A|U|296","4LFB|1|A|G|297","4LFB|1|A|A|298","4LFB|1|A|G|299","4LFB|1|A|A|300","4LFB|1|A|G|301" "4IOA|1|X|C|640","4IOA|1|X|G|641","4IOA|1|X|A|642","4IOA|1|X|A|643","4IOA|1|X|A|644","4IOA|1|X|G|645" "4IOA|1|X|C|498","4IOA|1|X|G|499","4IOA|1|X|G|500","4IOA|1|X|G|501","4IOA|1|X|A|502","4IOA|1|X|G|503" "5TBW|1|1|C|2963","5TBW|1|1|G|2964","5TBW|1|1|U|2965","5TBW|1|1|G|2966","5TBW|1|1|A|2967","5TBW|1|1|G|2968" "5FDV|1|1B|G|86","5FDV|1|1B|G|87","5FDV|1|1B|C|88","5FDV|1|1B|G|89","5FDV|1|1B|A|90","5FDV|1|1B|C|91" "2ZZM|1|B|C|47","2ZZM|1|B|G|47|||A","2ZZM|1|B|U|47|||B","2ZZM|1|B|A|47|||C","2ZZM|1|B|G|47|||D","2ZZM|1|B|G|47|||E" "4QK9|1|A|C|56","4QK9|1|A|G|57","4QK9|1|A|U|58","4QK9|1|A|A|59","4QK9|1|A|A|60","4QK9|1|A|G|61" "4WF9|1|X|G|2876","4WF9|1|X|G|2877","4WF9|1|X|U|2878","4WF9|1|X|G|2879","4WF9|1|X|A|2880","4WF9|1|X|C|2881" "4WF9|1|X|C|508","4WF9|1|X|G|509","4WF9|1|X|U|510","4WF9|1|X|G|511","4WF9|1|X|A|512","4WF9|1|X|G|513" "4IOA|1|X|G|2353","4IOA|1|X|G|2354","4IOA|1|X|A|2355","4IOA|1|X|A|2356","4IOA|1|X|A|2357","4IOA|1|X|C|2358" "5J7L|1|DA|C|2594","5J7L|1|DA|G|2595","5J7L|1|DA|U|2596","5J7L|1|DA|G|2597","5J7L|1|DA|A|2598","5J7L|1|DA|G|2599" "5TBW|1|1|G|1126","5TBW|1|1|G|1127","5TBW|1|1|U|1128","5TBW|1|1|A|1129","5TBW|1|1|A|1130","5TBW|1|1|C|1132" "3NKB|1|B|C|49","3NKB|1|B|G|50","3NKB|1|B|A|51","3NKB|1|B|A|52","3NKB|1|B|A|53","3NKB|1|B|G|54" "3E5C|1|A|C|41","3E5C|1|A|G|42","3E5C|1|A|A|43","3E5C|1|A|A|44","3E5C|1|A|A|45","3E5C|1|A|G|46" "3RG5|1|B|U|47|||C","3RG5|1|B|U|47|||D","3RG5|1|B|A|47|||E","3RG5|1|B|G|47|||F","3RG5|1|B|C|47|||G","3RG5|1|B|G|47|||H" "3RG5|1|B|U|47|||C|1_556","3RG5|1|B|U|47|||D|1_556","3RG5|1|B|A|47|||E|1_556","3RG5|1|B|G|47|||F|1_556","3RG5|1|B|C|47|||G|1_556","3RG5|1|B|G|47|||H|1_556" "5TBW|1|1|U|2744","5TBW|1|1|G|2745","5TBW|1|1|A|2746","5TBW|1|1|A|2747","5TBW|1|1|A|2748","5TBW|1|1|G|2749" "2Z75|1|B|G|113","2Z75|1|B|G|114","2Z75|1|B|C|115","2Z75|1|B|G|116","2Z75|1|B|A|117","2Z75|1|B|C|118" "4IOA|1|X|C|473","4IOA|1|X|G|474","4IOA|1|X|U|475","4IOA|1|X|G|476","4IOA|1|X|A|477","4IOA|1|X|G|478" "4V88|1|A6|A|1296","4V88|1|A6|G|1297","4V88|1|A6|U|1298","4V88|1|A6|G|1299","4V88|1|A6|A|1300","4V88|1|A6|U|1301" "3NPQ|1|A|C|20","3NPQ|1|A|G|21","3NPQ|1|A|A|22","3NPQ|1|A|G|23","3NPQ|1|A|A|24","3NPQ|1|A|G|25" "4V9F|1|0|C|2629","4V9F|1|0|G|2630","4V9F|1|0|U|2631","4V9F|1|0|G|2632","4V9F|1|0|A|2633","4V9F|1|0|G|2634" "4V9F|1|0|U|468","4V9F|1|0|G|469","4V9F|1|0|U|470","4V9F|1|0|G|471","4V9F|1|0|A|472","4V9F|1|0|A|473" "4YAZ|1|R|C|25","4YAZ|1|R|G|26","4YAZ|1|R|C|27","4YAZ|1|R|G|28","4YAZ|1|R|A|29","4YAZ|1|R|G|30" "3W3S|1|B|C|47|||F|43_455","3W3S|1|B|G|47|||G|43_455","3W3S|1|B|C|47|||H|43_455","3W3S|1|B|A|47|||I|43_455","3W3S|1|B|A|47|||J|43_455","3W3S|1|B|G|47|||K|43_455" "3W3S|1|B|C|47|||F","3W3S|1|B|G|47|||G","3W3S|1|B|C|47|||H","3W3S|1|B|A|47|||I","3W3S|1|B|A|47|||J","3W3S|1|B|G|47|||K" "5J7L|1|DA|U|2356","5J7L|1|DA|G|2357","5J7L|1|DA|A|2358","5J7L|1|DA|C|2359","5J7L|1|DA|G|2360","5J7L|1|DA|G|2361" "5TBW|1|1|U|707","5TBW|1|1|G|708","5TBW|1|1|A|709","5TBW|1|1|A|710","5TBW|1|1|A|711","5TBW|1|1|G|712" "4LFB|1|A|U|1012","4LFB|1|A|G|1013","4LFB|1|A|A|1014","4LFB|1|A|A|1015","4LFB|1|A|A|1016","4LFB|1|A|G|1017" "4IOA|1|X|C|2573","4IOA|1|X|G|2574","4IOA|1|X|U|2575","4IOA|1|X|G|2576","4IOA|1|X|A|2577","4IOA|1|X|G|2578" "4Y4O|1|2A|C|2594","4Y4O|1|2A|G|2595","4Y4O|1|2A|U|2596","4Y4O|1|2A|G|2597","4Y4O|1|2A|A|2598","4Y4O|1|2A|G|2599" "4V9F|1|0|C|576","4V9F|1|0|G|577","4V9F|1|0|C|578","4V9F|1|0|G|579","4V9F|1|0|A|580","4V9F|1|0|G|581" "4OQU|1|A|C|76","4OQU|1|A|G|77","4OQU|1|A|A|78","4OQU|1|A|G|79","4OQU|1|A|A|80","4OQU|1|A|G|81" "4Y4O|1|2A|C|2856","4Y4O|1|2A|G|2857","4Y4O|1|2A|C|2858","4Y4O|1|2A|G|2859","4Y4O|1|2A|A|2860","4Y4O|1|2A|G|2861" "5J7L|1|AA|C|726","5J7L|1|AA|G|727","5J7L|1|AA|A|728","5J7L|1|AA|A|729","5J7L|1|AA|G|730","5J7L|1|AA|G|731" "4Y4O|1|2A|C|1222","4Y4O|1|2A|G|1223","4Y4O|1|2A|C|1224","4Y4O|1|2A|G|1225","4Y4O|1|2A|A|1226","4Y4O|1|2A|G|1227" "4PQV|1|A|C|13","4PQV|1|A|G|14","4PQV|1|A|A|15","4PQV|1|A|A|16","4PQV|1|A|A|17","4PQV|1|A|G|18" "4IOA|1|X|U|1856","4IOA|1|X|G|1857","4IOA|1|X|C|1858","4IOA|1|X|A|1859","4IOA|1|X|A|1860","4IOA|1|X|G|1861" "4IOA|1|Y|C|88","4IOA|1|Y|G|89","4IOA|1|Y|C|90","4IOA|1|Y|A|91","4IOA|1|Y|G|92","4IOA|1|Y|G|93" "5TBW|1|1|G|1634","5TBW|1|1|G|1635","5TBW|1|1|U|1636","5TBW|1|1|A|1637","5TBW|1|1|A|1638","5TBW|1|1|C|1639" "4LVW|1|A|C|58","4LVW|1|A|G|59","4LVW|1|A|A|60","4LVW|1|A|G|61","4LVW|1|A|A|62","4LVW|1|A|G|63" "4C7O|1|E|C|19","4C7O|1|E|G|20","4C7O|1|E|G|21","4C7O|1|E|A|22","4C7O|1|E|A|23","4C7O|1|E|G|24" "4Y4O|1|2A|U|1864","4Y4O|1|2A|G|1865","4Y4O|1|2A|C|1866","4Y4O|1|2A|A|1876","4Y4O|1|2A|A|1877","4Y4O|1|2A|G|1878" "4V88|1|A6|C|937","4V88|1|A6|G|938","4V88|1|A6|A|939","4V88|1|A6|A|940","4V88|1|A6|A|941","4V88|1|A6|G|942" "4IOA|1|X|C|146","4IOA|1|X|G|147","4IOA|1|X|C|148","4IOA|1|X|A|149","4IOA|1|X|A|150","4IOA|1|X|G|151" "4WF9|1|X|C|2621","4WF9|1|X|G|2622","4WF9|1|X|U|2623","4WF9|1|X|G|2624","4WF9|1|X|A|2625","4WF9|1|X|G|2626" "1G1X|1|J|C|726","1G1X|1|J|G|727","1G1X|1|J|A|728","1G1X|1|J|A|729","1G1X|1|J|G|730","1G1X|1|J|G|731" "4LFB|1|A|C|1076","4LFB|1|A|G|1077","4LFB|1|A|U|1078","4LFB|1|A|G|1079","4LFB|1|A|A|1080","4LFB|1|A|G|1081" "1G1X|1|E|C|726","1G1X|1|E|G|727","1G1X|1|E|A|728","1G1X|1|E|A|729","1G1X|1|E|G|730","1G1X|1|E|G|731" "5J7L|1|AA|C|1265","5J7L|1|AA|G|1266","5J7L|1|AA|C|1267","5J7L|1|AA|G|1268","5J7L|1|AA|A|1269","5J7L|1|AA|G|1270" "5J7L|1|AA|U|1076","5J7L|1|AA|G|1077","5J7L|1|AA|U|1078","5J7L|1|AA|G|1079","5J7L|1|AA|A|1080","5J7L|1|AA|A|1081" "1G1X|1|I|C|590","1G1X|1|I|G|591","1G1X|1|I|A|592","1G1X|1|I|A|593","1G1X|1|I|A|594","1G1X|1|I|G|649" "4LFB|1|A|C|726","4LFB|1|A|G|727","4LFB|1|A|A|728","4LFB|1|A|A|729","4LFB|1|A|G|730","4LFB|1|A|G|731" "2BTE|1|B|C|46","2BTE|1|B|G|47","2BTE|1|B|C|47|||A","2BTE|1|B|A|47|||B","2BTE|1|B|A|47|||C","2BTE|1|B|G|47|||D" "5TBW|1|1|C|1403","5TBW|1|1|G|1404","5TBW|1|1|U|1405","5TBW|1|1|A|1406","5TBW|1|1|A|1407","5TBW|1|1|G|1408" "4KQY|1|A|C|60","4KQY|1|A|G|61","4KQY|1|A|A|62","4KQY|1|A|A|63","4KQY|1|A|A|64","4KQY|1|A|G|65" "4KQY|1|A|C|60||||4_555","4KQY|1|A|G|61||||4_555","4KQY|1|A|A|62||||4_555","4KQY|1|A|A|63||||4_555","4KQY|1|A|A|64||||4_555","4KQY|1|A|G|65||||4_555" "4V9F|1|0|C|1326","4V9F|1|0|G|1327","4V9F|1|0|A|1328","4V9F|1|0|G|1329","4V9F|1|0|A|1330","4V9F|1|0|G|1331" "5TBW|1|1|G|1544","5TBW|1|1|A|1545","5TBW|1|1|A|1546","5TBW|1|1|G|1547","5TBW|1|1|C|1548","5TBW|1|1|U|1549" "4QK9|1|A|C|14","4QK9|1|A|U|15","4QK9|1|A|G|16","4QK9|1|A|A|17","4QK9|1|A|A|18","4QK9|1|A|G|19" "1U6B|1|B|C|153","1U6B|1|B|A|154","1U6B|1|B|A|155","1U6B|1|B|A|156","1U6B|1|B|C|157","1U6B|1|B|G|158" "1JID|1|B|G|146","1JID|1|B|G|147","1JID|1|B|G|148","1JID|1|B|A|149","1JID|1|B|G|150","1JID|1|B|C|151" "4V9F|1|0|G|1468","4V9F|1|0|C|1469","4V9F|1|0|A|1470","4V9F|1|0|A|1471","4V9F|1|0|C|1472","4V9F|1|0|C|1474" "5J7L|1|DA|C|1363","5J7L|1|DA|G|1364","5J7L|1|DA|A|1365","5J7L|1|DA|A|1366","5J7L|1|DA|A|1367","5J7L|1|DA|G|1368" "3AM1|1|B|C|32","3AM1|1|B|U|33","3AM1|1|B|U|34","3AM1|1|B|C|35","3AM1|1|B|G|36","3AM1|1|B|G|37" "3AM1|1|B|C|32||||5_555","3AM1|1|B|U|33||||5_555","3AM1|1|B|U|34||||5_555","3AM1|1|B|C|35||||5_555","3AM1|1|B|G|36||||5_555","3AM1|1|B|G|37||||5_555" "4Y4O|1|2A|C|1363","4Y4O|1|2A|G|1364","4Y4O|1|2A|A|1365","4Y4O|1|2A|A|1366","4Y4O|1|2A|A|1367","4Y4O|1|2A|G|1368" "1MFQ|1|A|G|146","1MFQ|1|A|G|147","1MFQ|1|A|G|148","1MFQ|1|A|A|149","1MFQ|1|A|G|150","1MFQ|1|A|C|151" "4WF9|1|X|C|1400","4WF9|1|X|G|1401","4WF9|1|X|A|1402","4WF9|1|X|C|1403","4WF9|1|X|A|1404","4WF9|1|X|G|1405" "4ZT0|1|D|U|72","4ZT0|1|D|G|73","4ZT0|1|D|A|74","4ZT0|1|D|A|75","4ZT0|1|D|A|76","4ZT0|1|D|A|77" "4V88|1|A6|G|154","4V88|1|A6|U|155","4V88|1|A6|A|156","4V88|1|A6|A|157","4V88|1|A6|U|158","4V88|1|A6|C|160" "6AZ4|1|A|U|20","6AZ4|1|A|G|21","6AZ4|1|A|A|22","6AZ4|1|A|A|23","6AZ4|1|A|A|24","6AZ4|1|A|G|25" "4V88|1|A6|G|1778","4V88|1|A6|U|1779","4V88|1|A6|G|1780","4V88|1|A6|A|1781","4V88|1|A6|A|1782","4V88|1|A6|C|1783" "6CB3|1|B|G|20","6CB3|1|B|G|21","6CB3|1|B|A|22","6CB3|1|B|A|23","6CB3|1|B|A|24","6CB3|1|B|C|25" "4V88|1|A6|C|1501","4V88|1|A6|G|1502","4V88|1|A6|A|1503","4V88|1|A6|G|1504","4V88|1|A6|A|1505","4V88|1|A6|G|1506" "5J7L|1|DA|U|1222","5J7L|1|DA|G|1223","5J7L|1|DA|U|1224","5J7L|1|DA|G|1225","5J7L|1|DA|A|1226","5J7L|1|DA|G|1227" "6MJ0|1|B|C|49","6MJ0|1|B|G|50","6MJ0|1|B|A|51","6MJ0|1|B|A|52","6MJ0|1|B|A|53","6MJ0|1|B|G|54" "5DDO|1|B|G|11","5DDO|1|B|G|12","5DDO|1|B|A|13","5DDO|1|B|A|14","5DDO|1|B|A|15","5DDO|1|B|C|16" "4IOA|1|X|C|1376","4IOA|1|X|G|1377","4IOA|1|X|A|1378","4IOA|1|X|A|1379","4IOA|1|X|C|1380","4IOA|1|X|G|1381" "1M5K|1|B|G|74","1M5K|1|B|G|75","1M5K|1|B|A|76","1M5K|1|B|A|77","1M5K|1|B|A|78","1M5K|1|B|C|79" "4V88|1|A6|C|569","4V88|1|A6|A|570","4V88|1|A6|G|571","4V88|1|A6|C|572","4V88|1|A6|C|573","4V88|1|A6|G|574" "4V9F|1|0|U|733","4V9F|1|0|U|734","4V9F|1|0|C|735","4V9F|1|0|A|736","4V9F|1|0|A|737","4V9F|1|0|G|738" "4IOA|1|X|C|1235","4IOA|1|X|G|1236","4IOA|1|X|G|1237","4IOA|1|X|A|1238","4IOA|1|X|A|1239","4IOA|1|X|G|1240" "5TBW|1|1|A|1760","5TBW|1|1|C|1761","5TBW|1|1|C|1762","5TBW|1|1|U|1763","5TBW|1|1|U|1764","5TBW|1|1|U|1765" "5J7L|1|DA|C|1868","5J7L|1|DA|G|1869","5J7L|1|DA|C|1870","5J7L|1|DA|A|1871","5J7L|1|DA|A|1872","5J7L|1|DA|G|1873" "4QLM|1|A|C|54","4QLM|1|A|G|55","4QLM|1|A|A|56","4QLM|1|A|A|57","4QLM|1|A|A|58","4QLM|1|A|G|59" >HL_43355.1 "5J7L|1|DA|C|611","5J7L|1|DA|G|612","5J7L|1|DA|A|613","5J7L|1|DA|A|614","5J7L|1|DA|A|616","5J7L|1|DA|G|617" "4V9F|1|0|G|670","4V9F|1|0|A|671","4V9F|1|0|G|672","4V9F|1|0|U|673","4V9F|1|0|U|675","4V9F|1|0|C|676" "4IOA|1|X|C|552","4IOA|1|X|C|553","4IOA|1|X|A|556","4IOA|1|X|U|557","4IOA|1|X|C|559","4IOA|1|X|G|560" >HL_43533.1 "4V9F|1|0|A|1274","4V9F|1|0|C|1275","4V9F|1|0|U|1276","4V9F|1|0|C|1277","4V9F|1|0|A|1278","4V9F|1|0|U|1279","4V9F|1|0|A|1280","4V9F|1|0|C|1281","4V9F|1|0|U|1282" >HL_43610.1 "4ANG|1|R|G|7||A||P_P","4ANG|1|R|G|8||A||P_P","4ANG|1|R|A|9||A||P_P","4ANG|1|R|G|10||A||P_P","4ANG|1|R|A|13||A||P_P","4ANG|1|R|C|14||A||P_P","4ANG|1|R|C|15||A||P_P" "4ANG|1|R|G|7||A||P_1","4ANG|1|R|G|8||A||P_1","4ANG|1|R|A|9||A||P_1","4ANG|1|R|G|10||A||P_1","4ANG|1|R|A|13||A||P_1","4ANG|1|R|C|14||A||P_1","4ANG|1|R|C|15||A||P_1" "4V7M|1|AY|C|12","4V7M|1|AY|A|13","4V7M|1|AY|A|14","4V7M|1|AY|G|15","4V7M|1|AY|A|20","4V7M|1|AY|A|21","4V7M|1|AY|G|22" >HL_43613.3 "5J7L|1|DA|U|1777","5J7L|1|DA|U|1778","5J7L|1|DA|U|1779","5J7L|1|DA|A|1783","5J7L|1|DA|A|1784","5J7L|1|DA|A|1785","5J7L|1|DA|A|1787" "4Y4O|1|2A|U|1777","4Y4O|1|2A|U|1778","4Y4O|1|2A|U|1779","4Y4O|1|2A|A|1783","4Y4O|1|2A|A|1784","4Y4O|1|2A|A|1785","4Y4O|1|2A|A|1787" "4V9F|1|0|U|1833","4V9F|1|0|C|1834","4V9F|1|0|U|1835","4V9F|1|0|A|1839","4V9F|1|0|A|1840","4V9F|1|0|C|1841","4V9F|1|0|A|1843" "5TBW|1|1|U|2135","5TBW|1|1|C|2136","5TBW|1|1|U|2137","5TBW|1|1|U|2141","5TBW|1|1|A|2142","5TBW|1|1|A|2143","5TBW|1|1|A|2145" "4WF9|1|X|U|1804","4WF9|1|X|U|1805","4WF9|1|X|U|1806","4WF9|1|X|A|1810","4WF9|1|X|A|1811","4WF9|1|X|A|1812","4WF9|1|X|A|1814" >HL_44221.1 "3SKL|1|B|A|65","3SKL|1|B|A|66","3SKL|1|B|C|67","3SKL|1|B|C|68","3SKL|1|B|U|71","3SKL|1|B|U|72" >HL_44769.1 "4V9F|1|0|C|83","4V9F|1|0|G|84","4V9F|1|0|C|85","4V9F|1|0|A|86","4V9F|1|0|G|88","4V9F|1|0|G|89","4V9F|1|0|A|90","4V9F|1|0|G|91" >HL_44911.1 "1S03|1|A|A|22","1S03|1|A|G|23","1S03|1|A|G|24","1S03|1|A|C|25","1S03|1|A|A|26","1S03|1|A|A|27","1S03|1|A|U|29" >HL_45387.1 "5HR7|1|D|C|31","5HR7|1|D|C|32","5HR7|1|D|C|36","5HR7|1|D|C|38","5HR7|1|D|G|39" >HL_45493.1 "6DLR|1|A|C|27","6DLR|1|A|U|28","6DLR|1|A|U|29","6DLR|1|A|C|30","6DLR|1|A|G|31","6DLR|1|A|G|32" >HL_45684.1 "1P6V|1|B|G|44","1P6V|1|B|G|45","1P6V|1|B|U|46","1P6V|1|B|U|47","1P6V|1|B|C|48","1P6V|1|B|G|49","1P6V|1|B|A|50","1P6V|1|B|U|51","1P6V|1|B|U|52","1P6V|1|B|C|53","1P6V|1|B|C|54" >HL_46142.3 "4Y4O|1|2A|C|2324","4Y4O|1|2A|G|2325","4Y4O|1|2A|C|2326","4Y4O|1|2A|A|2327","4Y4O|1|2A|A|2328","4Y4O|1|2A|G|2329","4Y4O|1|2A|G|2330","4Y4O|1|2A|G|2331" "4IOA|1|X|C|2303","4IOA|1|X|G|2304","4IOA|1|X|C|2305","4IOA|1|X|A|2306","4IOA|1|X|A|2307","4IOA|1|X|A|2308","4IOA|1|X|G|2309","4IOA|1|X|G|2310" "5TBW|1|1|C|2693","5TBW|1|1|A|2694","5TBW|1|1|A|2695","5TBW|1|1|A|2696","5TBW|1|1|A|2697","5TBW|1|1|G|2698","5TBW|1|1|G|2699","5TBW|1|1|G|2700" "4WF9|1|X|U|2351","4WF9|1|X|G|2352","4WF9|1|X|U|2353","4WF9|1|X|A|2354","4WF9|1|X|A|2355","4WF9|1|X|A|2356","4WF9|1|X|G|2357","4WF9|1|X|G|2358" "5J7L|1|DA|U|2324","5J7L|1|DA|G|2325","5J7L|1|DA|C|2326","5J7L|1|DA|A|2327","5J7L|1|DA|A|2328","5J7L|1|DA|U|2329","5J7L|1|DA|G|2330","5J7L|1|DA|G|2331" "4V9F|1|0|U|2358","4V9F|1|0|G|2359","4V9F|1|0|C|2360","4V9F|1|0|A|2361","4V9F|1|0|A|2362","4V9F|1|0|G|2363","4V9F|1|0|A|2364","4V9F|1|0|G|2365" "4FRN|1|B|U|44","4FRN|1|B|U|45","4FRN|1|B|A|46","4FRN|1|B|C|47","4FRN|1|B|U|48","4FRN|1|B|U|49","4FRN|1|B|G|50","4FRN|1|B|A|51" "2JLT|1|B|C|5","2JLT|1|B|C|6","2JLT|1|B|U|7","2JLT|1|B|G|8","2JLT|1|B|G|9","2JLT|1|B|G|10","2JLT|1|B|A|11","2JLT|1|B|G|12" "5K7D|1|A|G|5","5K7D|1|A|U|6","5K7D|1|A|U|7","5K7D|1|A|A|8","5K7D|1|A|G|9","5K7D|1|A|G|10","5K7D|1|A|G|11","5K7D|1|A|C|12" "5KTJ|1|A|G|5","5KTJ|1|A|U|6","5KTJ|1|A|U|7","5KTJ|1|A|U|8","5KTJ|1|A|G|9","5KTJ|1|A|A|10","5KTJ|1|A|G|11","5KTJ|1|A|C|12" "4V9F|1|0|G|2442","4V9F|1|0|U|2444","4V9F|1|0|U|2445","4V9F|1|0|G|2446","4V9F|1|0|A|2447","4V9F|1|0|U|2448","4V9F|1|0|G|2449","4V9F|1|0|C|2450" "5TBW|1|1|C|2776","5TBW|1|1|G|2778","5TBW|1|1|A|2779","5TBW|1|1|A|2780","5TBW|1|1|U|2781","5TBW|1|1|U|2782","5TBW|1|1|U|2783","5TBW|1|1|G|2784" "3G9C|1|Q|G|71","3G9C|1|Q|U|73","3G9C|1|Q|C|74","3G9C|1|Q|A|75","3G9C|1|Q|U|76","3G9C|1|Q|C|77","3G9C|1|Q|A|78","3G9C|1|Q|C|79" "4V9F|1|0|G|416","4V9F|1|0|C|418","4V9F|1|0|A|419","4V9F|1|0|U|420","4V9F|1|0|C|421","4V9F|1|0|G|422","4V9F|1|0|A|423","4V9F|1|0|C|424" "3SKI|1|A|G|53","3SKI|1|A|A|54","3SKI|1|A|C|55","3SKI|1|A|C|56","3SKI|1|A|C|57","3SKI|1|A|C|58","3SKI|1|A|G|59","3SKI|1|A|C|60" "4QK8|1|A|U|71","4QK8|1|A|C|73","4QK8|1|A|C|74","4QK8|1|A|U|75","4QK8|1|A|U|76","4QK8|1|A|C|77","4QK8|1|A|U|78","4QK8|1|A|G|79" "3SKL|1|B|G|52","3SKL|1|B|A|53","3SKL|1|B|C|54","3SKL|1|B|C|55","3SKL|1|B|C|56","3SKL|1|B|C|57","3SKL|1|B|G|58","3SKL|1|B|C|59" "5TBW|1|1|G|303","5TBW|1|1|A|306","5TBW|1|1|A|307","5TBW|1|1|A|308","5TBW|1|1|U|309","5TBW|1|1|U|310","5TBW|1|1|C|311","5TBW|1|1|C|312" "4ENC|1|A|G|5","4ENC|1|A|G|8","4ENC|1|A|A|9","4ENC|1|A|G|10","4ENC|1|A|G|11","4ENC|1|A|C|12","4ENC|1|A|C|13","4ENC|1|A|C|14" >HL_46463.1 "5J7L|1|DA|U|137","5J7L|1|DA|C|140","5J7L|1|DA|G|141","5J7L|1|DA|A|142" >HL_47809.1 "5B2P|1|B|A|64","5B2P|1|B|U|65","5B2P|1|B|U|66","5B2P|1|B|U|67","5B2P|1|B|U|68" "2QUX|1|F|G|10","2QUX|1|F|A|11","2QUX|1|F|A|13","2QUX|1|F|G|15","2QUX|1|F|C|17" >HL_48376.1 "4Y4O|1|2A|C|2404","4Y4O|1|2A|G|2405","4Y4O|1|2A|G|2407","4Y4O|1|2A|U|2408","4Y4O|1|2A|G|2409","4Y4O|1|2A|G|2410","4Y4O|1|2A|A|2411","4Y4O|1|2A|A|2412","4Y4O|1|2A|G|2413" "4IOA|1|X|C|2383","4IOA|1|X|G|2384","4IOA|1|X|G|2386","4IOA|1|X|U|2387","4IOA|1|X|G|2388","4IOA|1|X|G|2389","4IOA|1|X|A|2390","4IOA|1|X|A|2391","4IOA|1|X|G|2392" "4WF9|1|X|C|2431","4WF9|1|X|G|2432","4WF9|1|X|A|2434","4WF9|1|X|U|2435","4WF9|1|X|G|2436","4WF9|1|X|G|2437","4WF9|1|X|A|2438","4WF9|1|X|A|2439","4WF9|1|X|G|2440" "5J7L|1|DA|U|2404","5J7L|1|DA|G|2405","5J7L|1|DA|A|2407","5J7L|1|DA|U|2408","5J7L|1|DA|G|2409","5J7L|1|DA|G|2410","5J7L|1|DA|A|2411","5J7L|1|DA|A|2412","5J7L|1|DA|G|2413" >HL_48962.1 "5XWP|1|C|C|9","5XWP|1|C|A|10","5XWP|1|C|A|11","5XWP|1|C|A|12","5XWP|1|C|A|13","5XWP|1|C|A|14","5XWP|1|C|G|16","5XWP|1|C|A|17","5XWP|1|C|A|18","5XWP|1|C|G|19" >HL_49194.1 "4P95|1|A|G|295","4P95|1|A|G|296","4P95|1|A|C|298","4P95|1|A|G|299","4P95|1|A|A|300","4P95|1|A|U|301","4P95|1|A|C|302" >HL_49196.2 "4QEI|1|C|A|31||||2_555","4QEI|1|C|U|32||||2_555","4QEI|1|C|U|33||||2_555","4QEI|1|C|C|34||||2_555","4QEI|1|C|U|38||||2_555","4QEI|1|C|U|39||||2_555" "4QEI|1|C|A|31","4QEI|1|C|U|32","4QEI|1|C|U|33","4QEI|1|C|C|34","4QEI|1|C|U|38","4QEI|1|C|U|39" "5E6M|1|C|A|31","5E6M|1|C|U|32","5E6M|1|C|U|33","5E6M|1|C|C|34","5E6M|1|C|U|38","5E6M|1|C|U|39" "4Y4O|1|2A|C|612","4Y4O|1|2A|G|613","4Y4O|1|2A|U|614","4Y4O|1|2A|U|614|||A","4Y4O|1|2A|A|614|||C","4Y4O|1|2A|G|615" >HL_49213.1 "2A64|1|A|G|288","2A64|1|A|G|289","2A64|1|A|A|290","2A64|1|A|A|291","2A64|1|A|A|292","2A64|1|A|U|293","2A64|1|A|G|294","2A64|1|A|A|295","2A64|1|A|A|296","2A64|1|A|C|297" >HL_49816.1 "4Z0C|1|C|G|4","4Z0C|1|C|A|5","4Z0C|1|C|A|7","4Z0C|1|C|G|8","4Z0C|1|C|A|9","4Z0C|1|C|C|11" >HL_49873.1 "3ZGZ|1|B|G|12","3ZGZ|1|B|G|13","3ZGZ|1|B|A|14","3ZGZ|1|B|A|15","3ZGZ|1|B|A|20|||A","3ZGZ|1|B|G|21","3ZGZ|1|B|A|22","3ZGZ|1|B|C|23" "2BTE|1|B|G|12","2BTE|1|B|G|13","2BTE|1|B|A|14","2BTE|1|B|A|15","2BTE|1|B|A|20|||A","2BTE|1|B|G|21","2BTE|1|B|A|22","2BTE|1|B|C|23" "5AH5|1|D|G|12","5AH5|1|D|A|13","5AH5|1|D|A|14","5AH5|1|D|A|15","5AH5|1|D|A|20|||A","5AH5|1|D|A|21","5AH5|1|D|A|22","5AH5|1|D|C|23" >HL_49992.1 "5J7L|1|AA|G|1356","5J7L|1|AA|A|1357","5J7L|1|AA|U|1358","5J7L|1|AA|C|1359","5J7L|1|AA|A|1360","5J7L|1|AA|G|1361","5J7L|1|AA|A|1362","5J7L|1|AA|A|1363","5J7L|1|AA|G|1365","5J7L|1|AA|C|1366" "6DME|1|A|G|78","6DME|1|A|G|79","6DME|1|A|A|80","6DME|1|A|U|81","6DME|1|A|A|82","6DME|1|A|A|83","6DME|1|A|A|84","6DME|1|A|A|85","6DME|1|A|A|87","6DME|1|A|C|88" >HL_50314.1 "6H9I|1|E|G|12","6H9I|1|E|A|14","6H9I|1|E|C|16" "4LFB|1|A|G|838","4LFB|1|A|C|840","4LFB|1|A|C|848" >HL_50537.2 "4WJ4|1|B|A|31","4WJ4|1|B|C|32","4WJ4|1|B|U|33","4WJ4|1|B|G|34","4WJ4|1|B|U|35","4WJ4|1|B|U|36","4WJ4|1|B|A|38","4WJ4|1|B|U|39" "4WJ4|1|B|A|31||||5_455","4WJ4|1|B|C|32||||5_455","4WJ4|1|B|U|33||||5_455","4WJ4|1|B|G|34||||5_455","4WJ4|1|B|U|35||||5_455","4WJ4|1|B|U|36||||5_455","4WJ4|1|B|A|38||||5_455","4WJ4|1|B|U|39||||5_455" "3KFU|1|L|G|31","3KFU|1|L|C|32","3KFU|1|L|U|33","3KFU|1|L|G|34","3KFU|1|L|U|35","3KFU|1|L|U|36","3KFU|1|L|A|38","3KFU|1|L|C|39" >HL_50622.4 "6GSJ|1|3K|C|30","6GSJ|1|3K|C|31","6GSJ|1|3K|U|32","6GSJ|1|3K|U|33","6GSJ|1|3K|G|34","6GSJ|1|3K|G|35","6GSJ|1|3K|U|36","6GSJ|1|3K|A|37","6GSJ|1|3K|A|38","6GSJ|1|3K|G|39","6GSJ|1|3K|G|40" "6GSK|1|3K|C|30","6GSK|1|3K|C|31","6GSK|1|3K|U|32","6GSK|1|3K|U|33","6GSK|1|3K|G|34","6GSK|1|3K|G|35","6GSK|1|3K|U|36","6GSK|1|3K|A|37","6GSK|1|3K|A|38","6GSK|1|3K|G|39","6GSK|1|3K|G|40" "6GSK|1|3L|C|30","6GSK|1|3L|C|31","6GSK|1|3L|U|32","6GSK|1|3L|U|33","6GSK|1|3L|G|34","6GSK|1|3L|G|35","6GSK|1|3L|U|36","6GSK|1|3L|A|37","6GSK|1|3L|A|38","6GSK|1|3L|G|39","6GSK|1|3L|G|40" "4WSM|1|3L|C|31","4WSM|1|3L|C|32","4WSM|1|3L|U|33","4WSM|1|3L|U|34","4WSM|1|3L|G|35","4WSM|1|3L|A|36","4WSM|1|3L|G|37","4WSM|1|3L|G|38","4WSM|1|3L|U|39","4WSM|1|3L|G|40","4WSM|1|3L|G|41" "4UYK|1|R|G|21","4UYK|1|R|G|22","4UYK|1|R|U|23","4UYK|1|R|U|24","4UYK|1|R|C|25","4UYK|1|R|G|26","4UYK|1|R|G|27","4UYK|1|R|C|28","4UYK|1|R|G|29","4UYK|1|R|U|30","4UYK|1|R|C|31" "4V7M|1|AY|G|29","4V7M|1|AY|A|30","4V7M|1|AY|U|31","4V7M|1|AY|U|32","4V7M|1|AY|G|33","4V7M|1|AY|U|34","4V7M|1|AY|G|35","4V7M|1|AY|A|36","4V7M|1|AY|U|37","4V7M|1|AY|U|38","4V7M|1|AY|C|39" >HL_50959.1 "4Y4O|1|2A|U|1720","4Y4O|1|2A|G|1721","4Y4O|1|2A|A|1722","4Y4O|1|2A|G|1740","4Y4O|1|2A|A|1741","4Y4O|1|2A|G|1742" >HL_50967.1 "4IOA|1|X|U|622","4IOA|1|X|G|623","4IOA|1|X|A|624","4IOA|1|X|A|626","4IOA|1|X|A|627" >HL_51090.1 "2CSX|1|C|C|13","2CSX|1|C|A|14","2CSX|1|C|G|15","2CSX|1|C|C|21","2CSX|1|C|A|21|||A","2CSX|1|C|G|22" >HL_51284.1 "4FRN|1|B|G|90","4FRN|1|B|C|91","4FRN|1|B|A|92","4FRN|1|B|A|93","4FRN|1|B|G|94","4FRN|1|B|G|95","4FRN|1|B|A|96","4FRN|1|B|G|97","4FRN|1|B|A|98","4FRN|1|B|C|99" >HL_52657.1 "2ANN|1|B|C|5","2ANN|1|B|G|6","2ANN|1|B|G|7","2ANN|1|B|A|8","2ANN|1|B|U|9","2ANN|1|B|C|10","2ANN|1|B|A|11","2ANN|1|B|C|14","2ANN|1|B|A|15","2ANN|1|B|C|16","2ANN|1|B|C|17","2ANN|1|B|C|18","2ANN|1|B|A|19","2ANN|1|B|A|20","2ANN|1|B|G|21" >HL_53119.1 "4IOA|1|X|C|1466","4IOA|1|X|U|1467","4IOA|1|X|G|1470","4IOA|1|X|G|1471","4IOA|1|X|C|1472","4IOA|1|X|U|1473","4IOA|1|X|A|1474","4IOA|1|X|G|1476" >HL_53189.1 "" >HL_53849.1 "3IVN|1|A|A|49","3IVN|1|A|A|50","3IVN|1|A|C|51","3IVN|1|A|C|52","3IVN|1|A|G|53","3IVN|1|A|U|54","3IVN|1|A|A|55","3IVN|1|A|A|56","3IVN|1|A|A|57","3IVN|1|A|A|58","3IVN|1|A|U|59" >HL_53987.1 "4M6D|1|H|C|32","4M6D|1|H|U|33","4M6D|1|H|A|35","4M6D|1|H|G|36" "4M4O|1|B|C|32","4M4O|1|B|U|33","4M4O|1|B|A|35","4M4O|1|B|G|36" "4V88|1|A6|C|230","4V88|1|A6|U|231","4V88|1|A6|G|234","4V88|1|A6|G|235" >HL_54035.3 "5TBW|1|1|C|1076","5TBW|1|1|U|1077","5TBW|1|1|U|1078","5TBW|1|1|A|1079","5TBW|1|1|A|1080","5TBW|1|1|U|1081","5TBW|1|1|U|1082","5TBW|1|1|G|1083" "4V88|1|A6|C|1309","4V88|1|A6|U|1310","4V88|1|A6|U|1311","4V88|1|A6|A|1312","4V88|1|A6|A|1313","4V88|1|A6|U|1314","4V88|1|A6|U|1315","4V88|1|A6|G|1316" "3R4F|1|A|A|24","3R4F|1|A|U|25","3R4F|1|A|U|26","3R4F|1|A|G|27","3R4F|1|A|A|28","3R4F|1|A|G|29","3R4F|1|A|U|30","3R4F|1|A|U|31" "3R4F|1|A|A|24||||15_545","3R4F|1|A|U|25||||15_545","3R4F|1|A|U|26||||15_545","3R4F|1|A|G|27||||15_545","3R4F|1|A|A|28||||15_545","3R4F|1|A|G|29||||15_545","3R4F|1|A|U|30||||15_545","3R4F|1|A|U|31||||15_545" "5TBW|1|1|U|278","5TBW|1|1|U|279","5TBW|1|1|U|280","5TBW|1|1|G|281","5TBW|1|1|G|282","5TBW|1|1|A|285","5TBW|1|1|U|286","5TBW|1|1|G|287" "5J7L|1|AA|G|1089","5J7L|1|AA|U|1090","5J7L|1|AA|U|1091","5J7L|1|AA|A|1092","5J7L|1|AA|A|1093","5J7L|1|AA|G|1094","5J7L|1|AA|U|1095","5J7L|1|AA|C|1096" "4LFB|1|A|G|1089","4LFB|1|A|U|1090","4LFB|1|A|U|1091","4LFB|1|A|A|1092","4LFB|1|A|A|1093","4LFB|1|A|G|1094","4LFB|1|A|U|1095","4LFB|1|A|C|1096" "3IVK|1|M|G|92","3IVK|1|M|U|93","3IVK|1|M|U|94","3IVK|1|M|A|95","3IVK|1|M|A|96","3IVK|1|M|A|97","3IVK|1|M|A|98","3IVK|1|M|C|99" >HL_54357.1 "2ZZN|1|D|A|31","2ZZN|1|D|C|32","2ZZN|1|D|U|33","2ZZN|1|D|G|34","2ZZN|1|D|C|35","2ZZN|1|D|A|36","2ZZN|1|D|A|38","2ZZN|1|D|U|39" "5WT1|1|C|A|31","5WT1|1|C|C|32","5WT1|1|C|U|33","5WT1|1|C|G|34","5WT1|1|C|A|35","5WT1|1|C|A|36","5WT1|1|C|A|38","5WT1|1|C|U|39" >HL_54654.1 "5TBW|1|1|C|3194","5TBW|1|1|U|3195","5TBW|1|1|U|3196","5TBW|1|1|G|3197" "5AH5|1|D|C|46|||A","5AH5|1|D|U|46|||B","5AH5|1|D|C|46|||C","5AH5|1|D|G|46|||E" "5J7L|1|DA|C|1728","5J7L|1|DA|U|1729","5J7L|1|DA|C|1730","5J7L|1|DA|G|1731" "2Y8Y|1|B|C|11","2Y8Y|1|B|C|13","2Y8Y|1|B|G|14","2Y8Y|1|B|G|16" >HL_55046.1 "2OZB|1|C|G|35","2OZB|1|C|U|36","2OZB|1|C|U|37","2OZB|1|C|A|39","2OZB|1|C|C|41" >HL_55200.2 "1F1T|1|A|C|14","1F1T|1|A|G|15","1F1T|1|A|A|16","1F1T|1|A|G|17","1F1T|1|A|A|18","1F1T|1|A|G|19" "4PQV|1|A|G|57","4PQV|1|A|G|58","4PQV|1|A|A|59","4PQV|1|A|G|61","4PQV|1|A|A|62","4PQV|1|A|C|63" >HL_56372.1 "4GXY|1|A|G|65","4GXY|1|A|C|67","4GXY|1|A|C|68","4GXY|1|A|C|69","4GXY|1|A|G|70","4GXY|1|A|C|71" >HL_56500.1 "" >HL_56677.3 "5J7L|1|DA|C|2745","5J7L|1|DA|U|2746","5J7L|1|DA|G|2747","5J7L|1|DA|A|2748","5J7L|1|DA|A|2749","5J7L|1|DA|A|2750","5J7L|1|DA|C|2752","5J7L|1|DA|A|2753","5J7L|1|DA|U|2754","5J7L|1|DA|U|2756","5J7L|1|DA|A|2757","5J7L|1|DA|A|2758","5J7L|1|DA|G|2759" "4V9F|1|0|C|2780","4V9F|1|0|U|2781","4V9F|1|0|G|2782","4V9F|1|0|A|2783","4V9F|1|0|A|2784","4V9F|1|0|C|2785","4V9F|1|0|C|2787","4V9F|1|0|A|2788","4V9F|1|0|U|2789","4V9F|1|0|U|2791","4V9F|1|0|A|2792","4V9F|1|0|A|2793","4V9F|1|0|G|2794" "4WF9|1|X|C|2772","4WF9|1|X|U|2773","4WF9|1|X|G|2774","4WF9|1|X|A|2775","4WF9|1|X|A|2776","4WF9|1|X|A|2777","4WF9|1|X|C|2779","4WF9|1|X|A|2780","4WF9|1|X|U|2781","4WF9|1|X|U|2783","4WF9|1|X|A|2784","4WF9|1|X|A|2785","4WF9|1|X|G|2786" "4Y4O|1|2A|C|2745","4Y4O|1|2A|U|2746","4Y4O|1|2A|G|2747","4Y4O|1|2A|A|2748","4Y4O|1|2A|A|2749","4Y4O|1|2A|A|2750","4Y4O|1|2A|C|2752","4Y4O|1|2A|A|2753","4Y4O|1|2A|U|2754","4Y4O|1|2A|U|2756","4Y4O|1|2A|A|2757","4Y4O|1|2A|A|2758","4Y4O|1|2A|G|2759" "4IOA|1|X|C|2725","4IOA|1|X|U|2726","4IOA|1|X|G|2727","4IOA|1|X|A|2728","4IOA|1|X|A|2729","4IOA|1|X|A|2730","4IOA|1|X|C|2732","4IOA|1|X|A|2733","4IOA|1|X|U|2734","4IOA|1|X|U|2736","4IOA|1|X|A|2737","4IOA|1|X|A|2738","4IOA|1|X|G|2739" "5TBW|1|1|C|3110","5TBW|1|1|U|3111","5TBW|1|1|G|3112","5TBW|1|1|A|3113","5TBW|1|1|A|3114","5TBW|1|1|C|3115","5TBW|1|1|C|3117","5TBW|1|1|C|3118","5TBW|1|1|U|3119","5TBW|1|1|U|3121","5TBW|1|1|A|3122","5TBW|1|1|A|3123","5TBW|1|1|G|3124" >HL_57052.2 "6MSF|1|R|G|6||||P_1","6MSF|1|R|C|8||||P_1","6MSF|1|R|C|10||||P_1" "6MSF|1|R|G|6||||P_P","6MSF|1|R|C|8||||P_P","6MSF|1|R|C|10||||P_P" "6MSF|1|S|G|6||||P_P","6MSF|1|S|C|8||||P_P","6MSF|1|S|C|10||||P_P" "6MSF|1|S|G|6||||P_1","6MSF|1|S|C|8||||P_1","6MSF|1|S|C|10||||P_1" "4LFB|1|A|C|201","4LFB|1|A|U|203","4LFB|1|A|G|216" "4YAZ|1|R|C|56","4YAZ|1|R|C|58","4YAZ|1|R|G|60" "4L8H|1|R|C|9","4L8H|1|R|A|11","4L8H|1|R|G|13" >HL_58200.1 "1GAX|1|D|C|930","1GAX|1|D|A|934","1GAX|1|D|C|935","1GAX|1|D|A|936","1GAX|1|D|G|938" >HL_58446.1 "1EC6|1|C|G|4","1EC6|1|C|A|5","1EC6|1|C|C|6","1EC6|1|C|C|7","1EC6|1|C|A|9","1EC6|1|C|G|10","1EC6|1|C|A|11","1EC6|1|C|U|12","1EC6|1|C|A|14","1EC6|1|C|C|15","1EC6|1|C|C|16","1EC6|1|C|C|17" >HL_58539.1 "" >HL_58733.1 "4V9I|1|AY|C|11","4V9I|1|AY|G|12","4V9I|1|AY|G|13","4V9I|1|AY|A|14","4V9I|1|AY|G|15","4V9I|1|AY|G|18","4V9I|1|AY|C|19","4V9I|1|AY|U|20","4V9I|1|AY|G|21","4V9I|1|AY|A|22","4V9I|1|AY|A|23","4V9I|1|AY|G|24" >HL_59445.1 "4WSM|1|1K|C|49","4WSM|1|1K|A|50","4WSM|1|1K|A|51","4WSM|1|1K|U|52","4WSM|1|1K|A|53","4WSM|1|1K|G|54","4WSM|1|1K|G|55" >HL_59564.1 "" >HL_59710.3 "2AZX|1|D|A|531","2AZX|1|D|C|532","2AZX|1|D|U|533","2AZX|1|D|C|534","2AZX|1|D|C|535","2AZX|1|D|A|536","2AZX|1|D|G|537","2AZX|1|D|A|538","2AZX|1|D|U|539" "2AZX|1|D|A|531||||6_555","2AZX|1|D|C|532||||6_555","2AZX|1|D|U|533||||6_555","2AZX|1|D|C|534||||6_555","2AZX|1|D|C|535||||6_555","2AZX|1|D|A|536||||6_555","2AZX|1|D|G|537||||6_555","2AZX|1|D|A|538||||6_555","2AZX|1|D|U|539||||6_555" "4TUE|1|QV|G|31","4TUE|1|QV|C|32","4TUE|1|QV|U|33","4TUE|1|QV|C|34","4TUE|1|QV|A|35","4TUE|1|QV|U|36","4TUE|1|QV|A|37","4TUE|1|QV|A|38","4TUE|1|QV|C|39" "4V50|1|CW|G|31","4V50|1|CW|C|32","4V50|1|CW|U|33","4V50|1|CW|C|34","4V50|1|CW|A|35","4V50|1|CW|U|36","4V50|1|CW|A|37","4V50|1|CW|A|38","4V50|1|CW|C|39" "4V9Q|1|DV|G|31","4V9Q|1|DV|C|32","4V9Q|1|DV|U|33","4V9Q|1|DV|C|34","4V9Q|1|DV|A|35","4V9Q|1|DV|U|36","4V9Q|1|DV|A|37","4V9Q|1|DV|A|38","4V9Q|1|DV|C|39" "4WT8|1|D4|G|32","4WT8|1|D4|C|33","4WT8|1|D4|U|34","4WT8|1|D4|C|35","4WT8|1|D4|A|36","4WT8|1|D4|U|37","4WT8|1|D4|A|38","4WT8|1|D4|A|39","4WT8|1|D4|C|40" "5IBB|1|1L|C|31","5IBB|1|1L|C|32","5IBB|1|1L|U|33","5IBB|1|1L|U|34","5IBB|1|1L|A|35","5IBB|1|1L|C|36","5IBB|1|1L|A|37","5IBB|1|1L|A|38","5IBB|1|1L|G|39" "4YCO|1|D|A|31","4YCO|1|D|U|32","4YCO|1|D|U|33","4YCO|1|D|G|34","4YCO|1|D|A|35","4YCO|1|D|A|36","4YCO|1|D|A|37","4YCO|1|D|A|38","4YCO|1|D|U|39" "4V9I|1|AY|U|31","4V9I|1|AY|C|32","4V9I|1|AY|U|33","4V9I|1|AY|C|34","4V9I|1|AY|G|35","4V9I|1|AY|A|36","4V9I|1|AY|A|37","4V9I|1|AY|A|38","4V9I|1|AY|A|39" "1U0B|1|A|A|31","1U0B|1|A|U|32","1U0B|1|A|U|33","1U0B|1|A|G|34","1U0B|1|A|C|35","1U0B|1|A|A|36","1U0B|1|A|A|37","1U0B|1|A|A|38","1U0B|1|A|U|39" "4P95|1|A|G|241","4P95|1|A|C|242","4P95|1|A|U|243","4P95|1|A|A|244","4P95|1|A|A|245","4P95|1|A|U|246","4P95|1|A|C|247","4P95|1|A|A|248","4P95|1|A|C|249" "4V9K|1|AW|A|31","4V9K|1|AW|C|32","4V9K|1|AW|U|33","4V9K|1|AW|C|34","4V9K|1|AW|A|35","4V9K|1|AW|U|36","4V9K|1|AW|A|37","4V9K|1|AW|A|38","4V9K|1|AW|U|39" "1N78|1|C|C|531","1N78|1|C|C|532","1N78|1|C|U|533","1N78|1|C|C|534","1N78|1|C|U|535","1N78|1|C|C|536","1N78|1|C|A|537","1N78|1|C|A|538","1N78|1|C|G|539" "3TUP|1|T|A|31","3TUP|1|T|C|32","3TUP|1|T|U|33","3TUP|1|T|G|34","3TUP|1|T|A|35","3TUP|1|T|A|36","3TUP|1|T|A|37","3TUP|1|T|A|38","3TUP|1|T|U|39" "2ZNI|1|C|A|31","2ZNI|1|C|C|32","2ZNI|1|C|U|33","2ZNI|1|C|C|34","2ZNI|1|C|U|35","2ZNI|1|C|A|36","2ZNI|1|C|A|37","2ZNI|1|C|A|38","2ZNI|1|C|U|39" "3W3S|1|B|A|31||||43_455","3W3S|1|B|C|32||||43_455","3W3S|1|B|U|33||||43_455","3W3S|1|B|U|34||||43_455","3W3S|1|B|C|35||||43_455","3W3S|1|B|A|36||||43_455","3W3S|1|B|A|37||||43_455","3W3S|1|B|A|38||||43_455","3W3S|1|B|U|39||||43_455" "3W3S|1|B|A|31","3W3S|1|B|C|32","3W3S|1|B|U|33","3W3S|1|B|U|34","3W3S|1|B|C|35","3W3S|1|B|A|36","3W3S|1|B|A|37","3W3S|1|B|A|38","3W3S|1|B|U|39" "5T83|1|A|U|56","5T83|1|A|U|57","5T83|1|A|U|58","5T83|1|A|C|59","5T83|1|A|A|60","5T83|1|A|C|61","5T83|1|A|A|62","5T83|1|A|A|63","5T83|1|A|A|64" "2AKE|1|B|A|31","2AKE|1|B|C|32","2AKE|1|B|U|33","2AKE|1|B|C|34","2AKE|1|B|C|35","2AKE|1|B|A|36","2AKE|1|B|G|37","2AKE|1|B|A|38","2AKE|1|B|U|39" "2AKE|1|B|A|31||||7_556","2AKE|1|B|C|32||||7_556","2AKE|1|B|U|33||||7_556","2AKE|1|B|C|34||||7_556","2AKE|1|B|C|35||||7_556","2AKE|1|B|A|36||||7_556","2AKE|1|B|G|37||||7_556","2AKE|1|B|A|38||||7_556","2AKE|1|B|U|39||||7_556" "4V90|1|AV|A|31","4V90|1|AV|U|32","4V90|1|AV|U|33","4V90|1|AV|G|34","4V90|1|AV|A|35","4V90|1|AV|A|36","4V90|1|AV|A|37","4V90|1|AV|A|38","4V90|1|AV|U|39" "3WFS|1|A|A|31","3WFS|1|A|C|32","3WFS|1|A|U|33","3WFS|1|A|G|34","3WFS|1|A|A|35","3WFS|1|A|A|36","3WFS|1|A|A|37","3WFS|1|A|A|38","3WFS|1|A|U|39" "1J2B|1|C|C|932","1J2B|1|C|C|933","1J2B|1|C|U|934","1J2B|1|C|U|935","1J2B|1|C|A|936","1J2B|1|C|C|937","1J2B|1|C|G|938","1J2B|1|C|A|939","1J2B|1|C|G|940" "1J1U|1|B|A|532","1J1U|1|B|C|533","1J1U|1|B|U|534","1J1U|1|B|G|535","1J1U|1|B|U|536","1J1U|1|B|A|537","1J1U|1|B|G|538","1J1U|1|B|A|539","1J1U|1|B|U|540" "1J1U|1|B|A|532||||4_556","1J1U|1|B|C|533||||4_556","1J1U|1|B|U|534||||4_556","1J1U|1|B|G|535||||4_556","1J1U|1|B|U|536||||4_556","1J1U|1|B|A|537||||4_556","1J1U|1|B|G|538||||4_556","1J1U|1|B|A|539||||4_556","1J1U|1|B|U|540||||4_556" "4P5J|1|A|C|25","4P5J|1|A|U|26","4P5J|1|A|C|27","4P5J|1|A|G|28","4P5J|1|A|A|29","4P5J|1|A|C|30","4P5J|1|A|A|31","4P5J|1|A|C|32","4P5J|1|A|G|33" "3RG5|1|B|G|31||||1_556","3RG5|1|B|C|32||||1_556","3RG5|1|B|U|33||||1_556","3RG5|1|B|U|34||||1_556","3RG5|1|B|C|35||||1_556","3RG5|1|B|A|36||||1_556","3RG5|1|B|A|37||||1_556","3RG5|1|B|A|38||||1_556","3RG5|1|B|C|39||||1_556" "3RG5|1|B|G|31","3RG5|1|B|C|32","3RG5|1|B|U|33","3RG5|1|B|U|34","3RG5|1|B|C|35","3RG5|1|B|A|36","3RG5|1|B|A|37","3RG5|1|B|A|38","3RG5|1|B|C|39" >HL_59988.1 "4V9F|1|0|C|1705","4V9F|1|0|G|1706","4V9F|1|0|G|1707","4V9F|1|0|C|1708","4V9F|1|0|G|1709","4V9F|1|0|A|1711","4V9F|1|0|A|1712","4V9F|1|0|G|1713" >HL_60357.1 "5TBW|1|4|G|124","5TBW|1|4|A|126","5TBW|1|4|U|127","5TBW|1|4|U|128","5TBW|1|4|C|129" >HL_60381.1 "5AOX|1|C|G|11","5AOX|1|C|G|12","5AOX|1|C|U|13","5AOX|1|C|G|14","5AOX|1|C|G|15","5AOX|1|C|C|16","5AOX|1|C|U|17","5AOX|1|C|C|18" "1E8O|1|E|G|110","1E8O|1|E|G|111","1E8O|1|E|U|112","1E8O|1|E|G|113","1E8O|1|E|G|114","1E8O|1|E|C|115","1E8O|1|E|G|116","1E8O|1|E|C|117" "5J7L|1|DA|G|712","5J7L|1|DA|G|713","5J7L|1|DA|U|714","5J7L|1|DA|A|715","5J7L|1|DA|A|716","5J7L|1|DA|C|717","5J7L|1|DA|A|718","5J7L|1|DA|C|719" "3HHN|1|E|G|92","3HHN|1|E|U|93","3HHN|1|E|U|94","3HHN|1|E|A|95","3HHN|1|E|A|96","3HHN|1|E|A|97","3HHN|1|E|A|98","3HHN|1|E|C|99" "4Y4O|1|2A|G|712","4Y4O|1|2A|G|713","4Y4O|1|2A|U|714","4Y4O|1|2A|G|715","4Y4O|1|2A|A|716","4Y4O|1|2A|G|717","4Y4O|1|2A|A|718","4Y4O|1|2A|C|719" "1MMS|1|C|C|1092","1MMS|1|C|G|1093","1MMS|1|C|U|1094","1MMS|1|C|A|1095","1MMS|1|C|A|1096","1MMS|1|C|C|1097","1MMS|1|C|A|1098","1MMS|1|C|G|1099" "5D8H|1|A|C|1202","5D8H|1|A|G|1203","5D8H|1|A|U|1204","5D8H|1|A|A|1205","5D8H|1|A|A|1206","5D8H|1|A|C|1207","5D8H|1|A|A|1208","5D8H|1|A|G|1209" "1HC8|1|C|C|142","1HC8|1|C|G|143","1HC8|1|C|U|144","1HC8|1|C|A|145","1HC8|1|C|A|146","1HC8|1|C|U|147","1HC8|1|C|A|148","1HC8|1|C|G|149" "4WF9|1|X|A|136","4WF9|1|X|G|137","4WF9|1|X|U|138","4WF9|1|X|U|139","4WF9|1|X|A|140","4WF9|1|X|U|141","4WF9|1|X|G|142","4WF9|1|X|U|143" "4IOA|1|X|C|2334","4IOA|1|X|U|2335","4IOA|1|X|G|2336","4IOA|1|X|A|2337","4IOA|1|X|C|2338","4IOA|1|X|A|2339","4IOA|1|X|C|2340","4IOA|1|X|G|2341" "4R4V|1|A|G|689","4R4V|1|A|U|690","4R4V|1|A|U|691","4R4V|1|A|G|692","4R4V|1|A|A|693","4R4V|1|A|C|694","4R4V|1|A|A|696","4R4V|1|A|C|697" "4R4V|1|A|G|689||||7_556","4R4V|1|A|U|690||||7_556","4R4V|1|A|U|691||||7_556","4R4V|1|A|G|692||||7_556","4R4V|1|A|A|693||||7_556","4R4V|1|A|C|694||||7_556","4R4V|1|A|A|696||||7_556","4R4V|1|A|C|697||||7_556" "4WF9|1|X|C|2382","4WF9|1|X|C|2383","4WF9|1|X|U|2384","4WF9|1|X|A|2385","4WF9|1|X|C|2386","4WF9|1|X|A|2387","4WF9|1|X|A|2388","4WF9|1|X|G|2389" "4Y4O|1|2A|C|2355","4Y4O|1|2A|C|2356","4Y4O|1|2A|U|2357","4Y4O|1|2A|G|2358","4Y4O|1|2A|C|2359","4Y4O|1|2A|A|2360","4Y4O|1|2A|A|2361","4Y4O|1|2A|G|2362" "4V9F|1|0|C|1990","4V9F|1|0|A|1991","4V9F|1|0|U|1992","4V9F|1|0|C|1993","4V9F|1|0|A|1994","4V9F|1|0|G|1995","4V9F|1|0|A|1997","4V9F|1|0|G|1998" "5TBW|1|1|U|2292","5TBW|1|1|C|2293","5TBW|1|1|U|2294","5TBW|1|1|A|2295","5TBW|1|1|A|2296","5TBW|1|1|U|2297","5TBW|1|1|A|2299","5TBW|1|1|G|2300" "4Y4O|1|2A|G|1949","4Y4O|1|2A|G|1950","4Y4O|1|2A|U|1951","4Y4O|1|2A|A|1952","4Y4O|1|2A|A|1953","4Y4O|1|2A|G|1954","4Y4O|1|2A|U|1956","4Y4O|1|2A|C|1957" "4V9F|1|0|C|1916","4V9F|1|0|G|1917","4V9F|1|0|U|1918","4V9F|1|0|A|1919","4V9F|1|0|C|1920","4V9F|1|0|A|1921","4V9F|1|0|A|1922","4V9F|1|0|G|1923" "4IOA|1|X|G|1932","4IOA|1|X|G|1933","4IOA|1|X|U|1934","4IOA|1|X|A|1935","4IOA|1|X|A|1936","4IOA|1|X|G|1937","4IOA|1|X|U|1939","4IOA|1|X|C|1940" "5J7L|1|DA|G|1949","5J7L|1|DA|G|1950","5J7L|1|DA|U|1951","5J7L|1|DA|A|1952","5J7L|1|DA|A|1953","5J7L|1|DA|G|1954","5J7L|1|DA|U|1956","5J7L|1|DA|C|1957" "4WF9|1|X|G|1976","4WF9|1|X|G|1977","4WF9|1|X|U|1978","4WF9|1|X|A|1979","4WF9|1|X|A|1980","4WF9|1|X|G|1981","4WF9|1|X|U|1983","4WF9|1|X|C|1984" >HL_60695.1 "3D2V|1|A|G|17","3D2V|1|A|U|19","3D2V|1|A|C|20","3D2V|1|A|A|21","3D2V|1|A|C|22" "4Y4O|1|2A|G|139","4Y4O|1|2A|G|140","4Y4O|1|2A|A|141","4Y4O|1|2A|A|142","4Y4O|1|2A|C|142|||A" >HL_61092.1 "5AXM|1|P|C|13||||2_555","5AXM|1|P|A|14||||2_555","5AXM|1|P|G|15||||2_555","5AXM|1|P|G|19||||2_555","5AXM|1|P|G|20||||2_555","5AXM|1|P|A|21||||2_555","5AXM|1|P|G|22||||2_555" "5AXM|1|P|C|13","5AXM|1|P|A|14","5AXM|1|P|G|15","5AXM|1|P|G|19","5AXM|1|P|G|20","5AXM|1|P|A|21","5AXM|1|P|G|22" "4WJ4|1|B|C|13||||5_455","4WJ4|1|B|A|14||||5_455","4WJ4|1|B|G|15||||5_455","4WJ4|1|B|G|19||||5_455","4WJ4|1|B|U|20||||5_455","4WJ4|1|B|A|21||||5_455","4WJ4|1|B|G|22||||5_455" "4WJ4|1|B|C|13","4WJ4|1|B|A|14","4WJ4|1|B|G|15","4WJ4|1|B|G|19","4WJ4|1|B|U|20","4WJ4|1|B|A|21","4WJ4|1|B|G|22" "6CU1|1|A|C|58","6CU1|1|A|A|59","6CU1|1|A|A|60","6CU1|1|A|G|63","6CU1|1|A|U|64","6CU1|1|A|A|65","6CU1|1|A|G|66" "2AZX|1|D|C|513||||6_555","2AZX|1|D|A|514||||6_555","2AZX|1|D|A|515||||6_555","2AZX|1|D|G|519||||6_555","2AZX|1|D|U|520||||6_555","2AZX|1|D|A|521||||6_555","2AZX|1|D|G|522||||6_555" "2AZX|1|D|C|513","2AZX|1|D|A|514","2AZX|1|D|A|515","2AZX|1|D|G|519","2AZX|1|D|U|520","2AZX|1|D|A|521","2AZX|1|D|G|522" "4MGN|1|B|C|13","4MGN|1|B|A|14","4MGN|1|B|G|15","4MGN|1|B|G|18","4MGN|1|B|U|19","4MGN|1|B|A|20","4MGN|1|B|G|21" >HL_61893.2 "1U9S|1|A|G|84","1U9S|1|A|U|85","1U9S|1|A|A|86","1U9S|1|A|A|87","1U9S|1|A|C|88","1U9S|1|A|C|90" "5J7L|1|DA|U|641","5J7L|1|DA|U|642","5J7L|1|DA|A|643","5J7L|1|DA|A|644","5J7L|1|DA|C|645","5J7L|1|DA|G|647" >HL_61984.1 "4O26|1|E|C|186","4O26|1|E|C|188","4O26|1|E|G|190" "4V9F|1|0|C|712","4V9F|1|0|U|714","4V9F|1|0|G|716" >HL_62869.1 "4Y4O|1|2A|G|123","4Y4O|1|2A|G|124","4Y4O|1|2A|A|126","4Y4O|1|2A|A|127","4Y4O|1|2A|C|128" "5J7L|1|DA|G|123","5J7L|1|DA|G|124","5J7L|1|DA|A|126","5J7L|1|DA|A|127","5J7L|1|DA|C|128" "4IOA|1|X|G|121","4IOA|1|X|G|122","4IOA|1|X|A|124","4IOA|1|X|A|125","4IOA|1|X|C|126" "4WF9|1|X|G|122","4WF9|1|X|G|123","4WF9|1|X|A|125","4WF9|1|X|A|126","4WF9|1|X|C|127" >HL_63693.1 "3IGI|1|A|U|178","3IGI|1|A|A|181","3IGI|1|A|U|182","3IGI|1|A|A|183","3IGI|1|A|A|184","3IGI|1|A|C|185","3IGI|1|A|A|186","3IGI|1|A|A|187" >HL_63699.1 "5J7L|1|DA|U|779","5J7L|1|DA|G|780","5J7L|1|DA|A|782","5J7L|1|DA|A|783","5J7L|1|DA|G|784","5J7L|1|DA|G|785" "4V9F|1|0|U|872","4V9F|1|0|G|873","4V9F|1|0|A|875","4V9F|1|0|A|876","4V9F|1|0|G|877","4V9F|1|0|G|878" "4Y4O|1|2A|U|779","4Y4O|1|2A|G|780","4Y4O|1|2A|A|782","4Y4O|1|2A|A|783","4Y4O|1|2A|A|784","4Y4O|1|2A|G|785" "4WF9|1|X|A|824","4WF9|1|X|G|825","4WF9|1|X|A|827","4WF9|1|X|A|828","4WF9|1|X|U|829","4WF9|1|X|U|830" "4IOA|1|X|U|792","4IOA|1|X|G|793","4IOA|1|X|A|795","4IOA|1|X|A|796","4IOA|1|X|A|797","4IOA|1|X|G|798" >HL_64887.1 "4TUE|1|QV|C|12","4TUE|1|QV|A|13","4TUE|1|QV|G|14","4TUE|1|QV|A|21","4TUE|1|QV|G|22" "5WT1|1|C|C|13","5WT1|1|C|A|14","5WT1|1|C|G|15","5WT1|1|C|A|21","5WT1|1|C|G|22" >HL_66171.1 "4Y4O|1|2A|C|1612","4Y4O|1|2A|G|1613","4Y4O|1|2A|C|1615","4Y4O|1|2A|C|1617","4Y4O|1|2A|G|1619" "4IOA|1|X|C|1628","4IOA|1|X|G|1629","4IOA|1|X|C|1631","4IOA|1|X|C|1633","4IOA|1|X|G|1635" "4WF9|1|X|C|1656","4WF9|1|X|G|1657","4WF9|1|X|C|1659","4WF9|1|X|C|1661","4WF9|1|X|G|1663" "4V9F|1|0|C|1687","4V9F|1|0|G|1688","4V9F|1|0|C|1690","4V9F|1|0|C|1692","4V9F|1|0|G|1694" "5TBW|1|1|C|1844","5TBW|1|1|G|1845","5TBW|1|1|A|1847","5TBW|1|1|C|1849","5TBW|1|1|G|1851" >HL_67052.1 "5UD5|1|C|A|31","5UD5|1|C|C|32","5UD5|1|C|U|33","5UD5|1|C|C|34","5UD5|1|C|A|36","5UD5|1|C|A|37","5UD5|1|C|A|38","5UD5|1|C|U|39" "2BH2|1|D|G|1949","2BH2|1|D|G|1950","2BH2|1|D|U|1951","2BH2|1|D|A|1952","2BH2|1|D|G|1954","2BH2|1|D|U|1955","2BH2|1|D|U|1956","2BH2|1|D|C|1957" >HL_67205.1 "5TBW|1|1|C|2568","5TBW|1|1|A|2569","5TBW|1|1|U|2570","5TBW|1|1|U|2571","5TBW|1|1|C|2572","5TBW|1|1|G|2573" >HL_67216.3 "4Y4O|1|2A|C|246","4Y4O|1|2A|G|247","4Y4O|1|2A|G|248","4Y4O|1|2A|C|249","4Y4O|1|2A|G|250","4Y4O|1|2A|A|251","4Y4O|1|2A|G|252" "5J7L|1|DA|C|246","5J7L|1|DA|G|247","5J7L|1|DA|G|248","5J7L|1|DA|C|249","5J7L|1|DA|G|250","5J7L|1|DA|A|251","5J7L|1|DA|G|252" "4IOA|1|X|C|223","4IOA|1|X|G|224","4IOA|1|X|G|225","4IOA|1|X|C|226","4IOA|1|X|G|227","4IOA|1|X|A|228","4IOA|1|X|G|229" "5TBW|1|1|C|90","5TBW|1|1|G|91","5TBW|1|1|G|92","5TBW|1|1|C|93","5TBW|1|1|G|94","5TBW|1|1|A|95","5TBW|1|1|G|96" "4WF9|1|X|C|249","4WF9|1|X|G|250","4WF9|1|X|G|251","4WF9|1|X|C|252","4WF9|1|X|G|253","4WF9|1|X|A|254","4WF9|1|X|G|255" "4V9F|1|0|C|217","4V9F|1|0|C|218","4V9F|1|0|G|219","4V9F|1|0|C|220","4V9F|1|0|G|221","4V9F|1|0|A|222","4V9F|1|0|G|223" "4V88|1|A6|U|1396","4V88|1|A6|U|1397","4V88|1|A6|U|1398","4V88|1|A6|C|1399","4V88|1|A6|A|1400","4V88|1|A6|A|1401","4V88|1|A6|G|1402" >HL_67265.3 "4XWF|1|A|C|50","4XWF|1|A|G|51","4XWF|1|A|C|53","4XWF|1|A|C|54","4XWF|1|A|G|55","4XWF|1|A|C|56","4XWF|1|A|C|57","4XWF|1|A|U|58","4XWF|1|A|G|59","4XWF|1|A|G|60" "4ZNP|1|A|C|58","4ZNP|1|A|G|59","4ZNP|1|A|C|61","4ZNP|1|A|C|62","4ZNP|1|A|G|63","4ZNP|1|A|C|64","4ZNP|1|A|C|65","4ZNP|1|A|U|66","4ZNP|1|A|G|67","4ZNP|1|A|G|68" "5BTP|1|B|C|62","5BTP|1|B|G|63","5BTP|1|B|C|65","5BTP|1|B|C|66","5BTP|1|B|G|67","5BTP|1|B|U|68","5BTP|1|B|C|69","5BTP|1|B|U|70","5BTP|1|B|G|71","5BTP|1|B|G|72" "5X6B|1|P|G|29","5X6B|1|P|A|30","5X6B|1|P|U|32","5X6B|1|P|G|33","5X6B|1|P|C|34","5X6B|1|P|A|35","5X6B|1|P|G|36","5X6B|1|P|A|37","5X6B|1|P|U|38","5X6B|1|P|C|39" "4LFB|1|A|U|12","4LFB|1|A|U|13","4LFB|1|A|G|15","4LFB|1|A|A|16","4LFB|1|A|U|17","4LFB|1|A|C|18","4LFB|1|A|C|19","4LFB|1|A|U|20","4LFB|1|A|G|21","4LFB|1|A|G|22" "4V88|1|A6|G|7","4V88|1|A6|U|8","4V88|1|A6|G|10","4V88|1|A6|A|11","4V88|1|A6|U|12","4V88|1|A6|C|13","4V88|1|A6|C|14","4V88|1|A6|U|15","4V88|1|A6|G|16","4V88|1|A6|C|17" "5J7L|1|AA|U|12","5J7L|1|AA|U|13","5J7L|1|AA|G|15","5J7L|1|AA|A|16","5J7L|1|AA|U|17","5J7L|1|AA|C|18","5J7L|1|AA|A|19","5J7L|1|AA|U|20","5J7L|1|AA|G|21","5J7L|1|AA|G|22" >HL_68304.4 "5J7L|1|DA|C|487","5J7L|1|DA|G|488","5J7L|1|DA|G|489","5J7L|1|DA|G|491","5J7L|1|DA|A|492","5J7L|1|DA|G|493" "6ASO|1|I|U|70","6ASO|1|I|G|71","6ASO|1|I|C|72","6ASO|1|I|U|74","6ASO|1|I|A|75","6ASO|1|I|A|76" "4LFB|1|A|C|458","4LFB|1|A|G|459","4LFB|1|A|A|460","4LFB|1|A|G|462","4LFB|1|A|A|463","4LFB|1|A|G|474" "5J7L|1|AA|U|1165","5J7L|1|AA|G|1166","5J7L|1|AA|A|1167","5J7L|1|AA|A|1169","5J7L|1|AA|A|1170","5J7L|1|AA|A|1171" "4WF9|1|X|C|1260","4WF9|1|X|G|1261","4WF9|1|X|U|1262","4WF9|1|X|A|1263","4WF9|1|X|A|1264","4WF9|1|X|G|1265" "3KTW|1|C|U|163","3KTW|1|C|G|164","3KTW|1|C|G|165","3KTW|1|C|A|166","3KTW|1|C|G|167","3KTW|1|C|G|168" >HL_68478.1 "4WF9|1|X|G|283","4WF9|1|X|C|284","4WF9|1|X|G|287","4WF9|1|X|C|288" >HL_68493.3 "5DH6|1|A|C|27","5DH6|1|A|U|28","5DH6|1|A|G|29","5DH6|1|A|G|30","5DH6|1|A|G|31","5DH6|1|A|A|32","5DH6|1|A|G|34" "5J7L|1|DA|C|305","5J7L|1|DA|U|306","5J7L|1|DA|G|307","5J7L|1|DA|G|308","5J7L|1|DA|A|309","5J7L|1|DA|A|310","5J7L|1|DA|G|312" "4V9F|1|0|U|312","4V9F|1|0|U|313","4V9F|1|0|G|314","4V9F|1|0|G|315","4V9F|1|0|A|316","4V9F|1|0|A|317","4V9F|1|0|A|319" "4IOA|1|X|C|316","4IOA|1|X|U|317","4IOA|1|X|G|318","4IOA|1|X|G|319","4IOA|1|X|A|320","4IOA|1|X|A|321","4IOA|1|X|G|323" "5TBW|1|1|U|194","5TBW|1|1|U|195","5TBW|1|1|G|196","5TBW|1|1|G|197","5TBW|1|1|A|198","5TBW|1|1|A|199","5TBW|1|1|A|201" "4Y4O|1|2A|U|305","4Y4O|1|2A|U|306","4Y4O|1|2A|G|307","4Y4O|1|2A|G|308","4Y4O|1|2A|G|309","4Y4O|1|2A|A|310","4Y4O|1|2A|G|312" "2A64|1|A|C|69","2A64|1|A|U|70","2A64|1|A|G|71","2A64|1|A|A|72","2A64|1|A|G|73","2A64|1|A|A|74","2A64|1|A|G|76" "4V88|1|A6|G|243","4V88|1|A6|A|244","4V88|1|A6|U|245","4V88|1|A6|G|246","4V88|1|A6|A|247","4V88|1|A6|U|248","4V88|1|A6|C|250" "2GDI|1|X|G|66","2GDI|1|X|A|67","2GDI|1|X|U|68","2GDI|1|X|A|69","2GDI|1|X|A|70","2GDI|1|X|U|71","2GDI|1|X|C|73" "4WF9|1|X|C|348","4WF9|1|X|U|349","4WF9|1|X|G|350","4WF9|1|X|G|351","4WF9|1|X|A|352","4WF9|1|X|A|353","4WF9|1|X|G|355" "4V9F|1|0|C|1594","4V9F|1|0|G|1595","4V9F|1|0|U|1596","4V9F|1|0|A|1597","4V9F|1|0|A|1598","4V9F|1|0|U|1599","4V9F|1|0|G|1600" >HL_68529.1 "4OOG|1|D|G|14||||3_555","4OOG|1|D|U|17||||3_555","4OOG|1|D|C|19||||3_555" "4OOG|1|D|G|14","4OOG|1|D|U|17","4OOG|1|D|C|19" "5T16|1|H|G|16","5T16|1|H|U|19","5T16|1|H|C|21" "4KR9|1|M|G|10","4KR9|1|M|U|13","4KR9|1|M|C|15" >HL_68742.1 "4LCK|1|B|C|30","4LCK|1|B|U|31","4LCK|1|B|G|33","4LCK|1|B|C|34","4LCK|1|B|C|35","4LCK|1|B|A|36","4LCK|1|B|A|37","4LCK|1|B|G|38" >HL_68863.1 "3G9C|1|Q|A|31","3G9C|1|Q|G|32","3G9C|1|Q|G|33","3G9C|1|Q|G|35","3G9C|1|Q|G|36","3G9C|1|Q|G|37","3G9C|1|Q|G|38","3G9C|1|Q|U|39","3G9C|1|Q|U|40","3G9C|1|Q|A|42","3G9C|1|Q|U|43" >HL_69353.3 "4ZT0|1|D|C|55","4ZT0|1|D|A|57","4ZT0|1|D|G|58" "5B2T|1|A|C|55","5B2T|1|A|A|57","5B2T|1|A|G|58" "5FQ5|1|A|C|55","5FQ5|1|A|A|57","5FQ5|1|A|G|58" "2F8K|1|B|U|6","2F8K|1|B|G|8","2F8K|1|B|A|9" "4Y4O|1|2A|C|1565","4Y4O|1|2A|A|1567","4Y4O|1|2A|G|1568" "5J7L|1|DA|C|1565","5J7L|1|DA|G|1567","5J7L|1|DA|G|1568" "5U30|1|B|U|4","5U30|1|B|G|6","5U30|1|B|A|7" "5TBW|1|1|C|1792","5TBW|1|1|U|1795","5TBW|1|1|G|1796" "4IOA|1|X|C|1581","4IOA|1|X|A|1583","4IOA|1|X|G|1584" "5F9R|1|A|C|73","5F9R|1|A|A|75","5F9R|1|A|G|76" "4V9F|1|0|C|1651","4V9F|1|0|U|1654","4V9F|1|0|G|1655" "5TBW|1|1|C|3350","5TBW|1|1|U|3352","5TBW|1|1|G|3353" "4V88|1|A6|C|276","4V88|1|A6|U|278","4V88|1|A6|G|279" "5SWD|1|B|U|47","5SWD|1|B|C|50","5SWD|1|B|A|52" "4WF9|1|X|C|1612","4WF9|1|X|A|1614","4WF9|1|X|G|1615" >HL_69459.1 "2Y8W|1|B|C|11","2Y8W|1|B|G|16" >HL_69774.2 "6DB9|1|R|G|23","6DB9|1|R|A|25","6DB9|1|R|C|27","6DB9|1|R|A|28","6DB9|1|R|C|29" "4KZD|1|R|G|37","4KZD|1|R|A|39","4KZD|1|R|C|41","4KZD|1|R|A|42","4KZD|1|R|C|43" "3IVK|1|M|G|59","3IVK|1|M|A|61","3IVK|1|M|C|63","3IVK|1|M|A|64","3IVK|1|M|C|65" "3IGI|1|A|C|368","3IGI|1|A|G|369","3IGI|1|A|A|371","3IGI|1|A|C|372","3IGI|1|A|G|373" >HL_69941.1 "2Y9H|1|H|C|11","2Y9H|1|H|G|12","2Y9H|1|H|C|13","2Y9H|1|H|G|16" "3SKI|1|A|A|67","3SKI|1|A|C|68","3SKI|1|A|C|69","3SKI|1|A|U|72" >HL_70505.1 "4LFB|1|A|G|1356","4LFB|1|A|A|1357","4LFB|1|A|U|1358","4LFB|1|A|C|1359","4LFB|1|A|A|1360","4LFB|1|A|G|1361","4LFB|1|A|C|1361|||A","4LFB|1|A|C|1362","4LFB|1|A|A|1363","4LFB|1|A|G|1365","4LFB|1|A|C|1366" >HL_72066.1 "4V88|1|A6|U|764","4V88|1|A6|U|766","4V88|1|A6|U|767","4V88|1|A6|C|768","4V88|1|A6|A|769","4V88|1|A6|A|770","4V88|1|A6|A|771","4V88|1|A6|G|772" >HL_72419.1 "4V9F|1|0|U|2389","4V9F|1|0|U|2390","4V9F|1|0|C|2391","4V9F|1|0|C|2392","4V9F|1|0|C|2393","4V9F|1|0|A|2394","4V9F|1|0|A|2395","4V9F|1|0|C|2396","4V9F|1|0|G|2397","4V9F|1|0|A|2398","4V9F|1|0|G|2399" >HL_72451.3 "4Y4O|1|2A|G|978","4Y4O|1|2A|G|979","4Y4O|1|2A|A|980","4Y4O|1|2A|A|981","4Y4O|1|2A|C|982","4Y4O|1|2A|A|983","4Y4O|1|2A|A|984","4Y4O|1|2A|C|985" "4V9F|1|0|G|1076","4V9F|1|0|G|1077","4V9F|1|0|A|1078","4V9F|1|0|A|1079","4V9F|1|0|C|1080","4V9F|1|0|A|1081","4V9F|1|0|A|1082","4V9F|1|0|C|1083" "4IOA|1|X|G|989","4IOA|1|X|A|990","4IOA|1|X|A|991","4IOA|1|X|A|992","4IOA|1|X|C|993","4IOA|1|X|A|994","4IOA|1|X|A|995","4IOA|1|X|C|996" "5J7L|1|DA|G|978","5J7L|1|DA|A|979","5J7L|1|DA|A|980","5J7L|1|DA|A|981","5J7L|1|DA|C|982","5J7L|1|DA|A|983","5J7L|1|DA|A|984","5J7L|1|DA|C|985" "4WF9|1|X|G|1022","4WF9|1|X|A|1023","4WF9|1|X|A|1024","4WF9|1|X|A|1025","4WF9|1|X|C|1026","4WF9|1|X|A|1027","4WF9|1|X|G|1028","4WF9|1|X|C|1029" "5TBW|1|1|G|1148","5TBW|1|1|G|1149","5TBW|1|1|A|1150","5TBW|1|1|U|1151","5TBW|1|1|G|1152","5TBW|1|1|A|1153","5TBW|1|1|A|1154","5TBW|1|1|C|1155" >HL_73051.1 "5BJO|1|E|G|20","5BJO|1|E|A|21","5BJO|1|E|G|22","5BJO|1|E|G|23","5BJO|1|E|G|25","5BJO|1|E|G|26","5BJO|1|E|U|27","5BJO|1|E|C|28" >HL_73235.1 "5AOX|1|C|C|32","5AOX|1|C|A|33","5AOX|1|C|G|34","5AOX|1|C|C|35","5AOX|1|C|C|37","5AOX|1|C|U|38","5AOX|1|C|U|40","5AOX|1|C|G|41" >HL_73266.2 "4FEN|1|B|A|59","4FEN|1|B|C|60","4FEN|1|B|C|61","4FEN|1|B|G|62","4FEN|1|B|U|63","4FEN|1|B|A|64","4FEN|1|B|A|65","4FEN|1|B|A|66","4FEN|1|B|U|67" "1Y27|1|X|A|59","1Y27|1|X|C|60","1Y27|1|X|C|61","1Y27|1|X|G|62","1Y27|1|X|U|63","1Y27|1|X|A|64","1Y27|1|X|A|65","1Y27|1|X|A|66","1Y27|1|X|U|67" "4LX6|1|A|G|59","4LX6|1|A|C|60","4LX6|1|A|C|61","4LX6|1|A|U|62","4LX6|1|A|U|63","4LX6|1|A|A|64","4LX6|1|A|A|65","4LX6|1|A|A|66","4LX6|1|A|C|67" "5SWD|1|B|G|59","5SWD|1|B|C|60","5SWD|1|B|C|61","5SWD|1|B|U|62","5SWD|1|B|U|63","5SWD|1|B|A|64","5SWD|1|B|A|65","5SWD|1|B|A|66","5SWD|1|B|C|67" "3LA5|1|A|G|59","3LA5|1|A|C|60","3LA5|1|A|C|61","3LA5|1|A|U|62","3LA5|1|A|U|63","3LA5|1|A|A|64","3LA5|1|A|A|65","3LA5|1|A|A|66","3LA5|1|A|C|67" "5KPY|1|A|A|59","5KPY|1|A|C|60","5KPY|1|A|C|61","5KPY|1|A|G|62","5KPY|1|A|U|63","5KPY|1|A|A|64","5KPY|1|A|A|65","5KPY|1|A|A|66","5KPY|1|A|U|67" "3RKF|1|C|A|59","3RKF|1|C|C|60","3RKF|1|C|U|61","3RKF|1|C|G|62","3RKF|1|C|U|63","3RKF|1|C|A|64","3RKF|1|C|A|65","3RKF|1|C|A|66","3RKF|1|C|U|67" "4XNR|1|X|G|59","4XNR|1|X|C|60","4XNR|1|X|C|61","4XNR|1|X|U|62","4XNR|1|X|U|63","4XNR|1|X|A|64","4XNR|1|X|A|65","4XNR|1|X|A|66","4XNR|1|X|C|67" "1Y26|1|X|G|59","1Y26|1|X|C|60","1Y26|1|X|C|61","1Y26|1|X|U|62","1Y26|1|X|U|63","1Y26|1|X|A|64","1Y26|1|X|A|65","1Y26|1|X|A|66","1Y26|1|X|C|67" "6MJ0|1|B|U|12","6MJ0|1|B|C|13","6MJ0|1|B|U|14","6MJ0|1|B|U|15","6MJ0|1|B|U|16","6MJ0|1|B|A|17","6MJ0|1|B|A|18","6MJ0|1|B|A|19","6MJ0|1|B|A|20" >HL_74088.1 "5DEA|1|C|U|8","5DEA|1|C|G|9","5DEA|1|C|G|11","5DEA|1|C|G|12","5DEA|1|C|A|14","5DEA|1|C|G|15","5DEA|1|C|G|16","5DEA|1|C|A|17","5DEA|1|C|G|18","5DEA|1|C|G|20","5DEA|1|C|G|21","5DEA|1|C|C|22","5DEA|1|C|G|24","5DEA|1|C|G|25","5DEA|1|C|G|26","5DEA|1|C|U|27","5DEA|1|C|U|28","5DEA|1|C|G|29" >HL_75091.1 "5X2G|1|B|G|54","5X2G|1|B|U|55","5X2G|1|B|U|57","5X2G|1|B|G|58","5X2G|1|B|C|59","5X2G|1|B|G|60","5X2G|1|B|G|61","5X2G|1|B|G|62","5X2G|1|B|C|64" "5J7L|1|DA|U|59","5J7L|1|DA|G|60","5J7L|1|DA|U|62","5J7L|1|DA|A|63","5J7L|1|DA|A|64","5J7L|1|DA|U|65","5J7L|1|DA|C|66","5J7L|1|DA|U|67","5J7L|1|DA|G|68" "2JLT|1|A|G|5","2JLT|1|A|G|6","2JLT|1|A|C|8","2JLT|1|A|C|9","2JLT|1|A|C|10","2JLT|1|A|A|11","2JLT|1|A|G|12","2JLT|1|A|A|13","2JLT|1|A|C|14" >HL_76360.1 "4KR9|1|X|G|10","4KR9|1|X|G|12","4KR9|1|X|U|13","4KR9|1|X|C|14","4KR9|1|X|C|15" >HL_76406.3 "4V9F|1|0|G|2338","4V9F|1|0|A|2339","4V9F|1|0|C|2340","4V9F|1|0|A|2341","4V9F|1|0|G|2342","4V9F|1|0|A|2343","4V9F|1|0|G|2344","4V9F|1|0|A|2345","4V9F|1|0|C|2346" "5TBW|1|1|A|2673","5TBW|1|1|A|2674","5TBW|1|1|C|2675","5TBW|1|1|A|2676","5TBW|1|1|G|2677","5TBW|1|1|A|2678","5TBW|1|1|A|2679","5TBW|1|1|A|2680","5TBW|1|1|U|2681" >HL_78061.1 "4LFB|1|A|C|1314","4LFB|1|A|U|1315","4LFB|1|A|G|1316","4LFB|1|A|C|1317","4LFB|1|A|A|1318","4LFB|1|A|A|1319","4LFB|1|A|C|1320","4LFB|1|A|C|1321","4LFB|1|A|C|1322","4LFB|1|A|G|1323" "5J7L|1|AA|C|1314","5J7L|1|AA|U|1315","5J7L|1|AA|G|1316","5J7L|1|AA|C|1317","5J7L|1|AA|A|1318","5J7L|1|AA|A|1319","5J7L|1|AA|C|1320","5J7L|1|AA|U|1321","5J7L|1|AA|C|1322","5J7L|1|AA|G|1323" >HL_78351.1 "2A64|1|A|G|31","2A64|1|A|G|32","2A64|1|A|U|33","2A64|1|A|U|34","2A64|1|A|U|35","2A64|1|A|C|36" >HL_78457.3 "4V9F|1|0|G|1498","4V9F|1|0|U|1499","4V9F|1|0|U|1500","4V9F|1|0|A|1501","4V9F|1|0|A|1502","4V9F|1|0|U|1503","4V9F|1|0|A|1504","4V9F|1|0|C|1507" "5J7L|1|DA|G|1389","5J7L|1|DA|U|1390","5J7L|1|DA|U|1391","5J7L|1|DA|A|1392","5J7L|1|DA|A|1393","5J7L|1|DA|U|1394","5J7L|1|DA|A|1395","5J7L|1|DA|C|1398" "4Y4O|1|2A|G|1389","4Y4O|1|2A|U|1390","4Y4O|1|2A|U|1391","4Y4O|1|2A|A|1392","4Y4O|1|2A|A|1393","4Y4O|1|2A|U|1394","4Y4O|1|2A|A|1395","4Y4O|1|2A|C|1398" "4WF9|1|X|G|1426","4WF9|1|X|U|1427","4WF9|1|X|U|1428","4WF9|1|X|G|1429","4WF9|1|X|A|1430","4WF9|1|X|U|1431","4WF9|1|X|A|1432","4WF9|1|X|C|1435" "5TBW|1|1|G|1599","5TBW|1|1|U|1600","5TBW|1|1|U|1601","5TBW|1|1|A|1602","5TBW|1|1|A|1603","5TBW|1|1|G|1604","5TBW|1|1|A|1605","5TBW|1|1|C|1608" "5X2G|1|B|G|73","5X2G|1|B|U|74","5X2G|1|B|U|75","5X2G|1|B|A|76","5X2G|1|B|C|77","5X2G|1|B|A|78","5X2G|1|B|A|79","5X2G|1|B|C|81" >HL_79272.1 "5J7L|1|DA|C|1752","5J7L|1|DA|G|1753","5J7L|1|DA|A|1754","5J7L|1|DA|A|1755","5J7L|1|DA|G|1756" "4IOA|1|X|C|1743","4IOA|1|X|G|1744","4IOA|1|X|C|1745","4IOA|1|X|A|1746","4IOA|1|X|G|1747" "4Y4O|1|2A|C|1752","4Y4O|1|2A|G|1753","4Y4O|1|2A|C|1754","4Y4O|1|2A|A|1755","4Y4O|1|2A|G|1756" "4WF9|1|X|C|1779","4WF9|1|X|G|1780","4WF9|1|X|C|1781","4WF9|1|X|A|1782","4WF9|1|X|G|1783" "4V9F|1|0|C|1808","4V9F|1|0|G|1809","4V9F|1|0|C|1810","4V9F|1|0|A|1811","4V9F|1|0|G|1812" "3IVK|1|M|C|40","3IVK|1|M|G|41","3IVK|1|M|A|42","3IVK|1|M|A|43","3IVK|1|M|G|44" "3HHN|1|E|C|40","3HHN|1|E|G|41","3HHN|1|E|A|42","3HHN|1|E|A|43","3HHN|1|E|G|44" "4ZNP|1|A|G|26","4ZNP|1|A|G|27","4ZNP|1|A|A|28","4ZNP|1|A|A|29","4ZNP|1|A|C|31" "5MGA|1|B|C|-11","5MGA|1|B|U|-10","5MGA|1|B|G|-9","5MGA|1|B|U|-8","5MGA|1|B|G|-6" "5XH7|1|B|C|-11","5XH7|1|B|U|-10","5XH7|1|B|C|-9","5XH7|1|B|U|-8","5XH7|1|B|G|-6" "5KK5|1|B|C|-11","5KK5|1|B|U|-10","5KK5|1|B|C|-9","5KK5|1|B|U|-8","5KK5|1|B|G|-6" >HL_79299.4 "5Y85|1|D|C|28","5Y85|1|D|U|29","5Y85|1|D|C|31","5Y85|1|D|G|32","5Y85|1|D|G|33" "5D5L|1|A|C|60","5D5L|1|A|U|61","5D5L|1|A|C|63","5D5L|1|A|G|64","5D5L|1|A|G|65" "5J7L|1|AA|C|419","5J7L|1|AA|U|420","5J7L|1|AA|C|422","5J7L|1|AA|G|423","5J7L|1|AA|G|424" "5LYS|1|B|C|48","5LYS|1|B|U|48|||A","5LYS|1|B|C|48|||C","5LYS|1|B|G|48|||D","5LYS|1|B|G|60" "4Y4O|1|2A|C|1691","4Y4O|1|2A|U|1692","4Y4O|1|2A|C|1694","4Y4O|1|2A|G|1695","4Y4O|1|2A|G|1696" "4JF2|1|A|C|60","4JF2|1|A|U|61","4JF2|1|A|C|63","4JF2|1|A|G|64","4JF2|1|A|G|65" "4LFB|1|A|C|419","4LFB|1|A|U|420","4LFB|1|A|C|422","4LFB|1|A|G|423","4LFB|1|A|G|424" "5J7L|1|DA|C|1691","5J7L|1|DA|U|1692","5J7L|1|DA|C|1694","5J7L|1|DA|G|1695","5J7L|1|DA|G|1696" "4V9F|1|0|C|1769","4V9F|1|0|U|1770","4V9F|1|0|C|1772","4V9F|1|0|G|1773","4V9F|1|0|G|1774" "4PDB|1|I|C|17","4PDB|1|I|U|18","4PDB|1|I|C|20","4PDB|1|I|G|21","4PDB|1|I|G|22" "4PMI|1|A|C|53||||24_444","4PMI|1|A|U|54||||24_444","4PMI|1|A|C|56||||24_444","4PMI|1|A|G|57||||24_444","4PMI|1|A|G|58||||24_444" "4PMI|1|A|C|53||||18_445","4PMI|1|A|U|54||||18_445","4PMI|1|A|C|56||||18_445","4PMI|1|A|G|57||||18_445","4PMI|1|A|G|58||||18_445" "4PMI|1|A|C|53||||12_455","4PMI|1|A|U|54||||12_455","4PMI|1|A|C|56||||12_455","4PMI|1|A|G|57||||12_455","4PMI|1|A|G|58||||12_455" "4PMI|1|A|C|53","4PMI|1|A|U|54","4PMI|1|A|C|56","4PMI|1|A|G|57","4PMI|1|A|G|58" "4PMI|1|A|C|53||||13_455","4PMI|1|A|U|54||||13_455","4PMI|1|A|C|56||||13_455","4PMI|1|A|G|57||||13_455","4PMI|1|A|G|58||||13_455" "4PMI|1|A|C|53||||6_445","4PMI|1|A|U|54||||6_445","4PMI|1|A|C|56||||6_445","4PMI|1|A|G|57||||6_445","4PMI|1|A|G|58||||6_445" "4LFB|1|A|C|342","4LFB|1|A|U|343","4LFB|1|A|C|345","4LFB|1|A|G|346","4LFB|1|A|G|347" "2HW8|1|B|C|15","2HW8|1|B|U|16","2HW8|1|B|C|18","2HW8|1|B|G|19","2HW8|1|B|G|20" "1UN6|1|F|C|15","1UN6|1|F|U|16","1UN6|1|F|C|18","1UN6|1|F|G|19","1UN6|1|F|G|64" "4LFB|1|A|C|1449","4LFB|1|A|U|1450","4LFB|1|A|C|1452","4LFB|1|A|G|1453","4LFB|1|A|G|1454" "4V88|1|A6|C|414","4V88|1|A6|C|415","4V88|1|A6|A|417","4V88|1|A6|G|418","4V88|1|A6|G|419" "5J7L|1|AA|C|1449","5J7L|1|AA|U|1450","5J7L|1|AA|C|1452","5J7L|1|AA|G|1453","5J7L|1|AA|G|1454" "4AY2|1|C|C|8","4AY2|1|C|U|9","4AY2|1|C|C|11","4AY2|1|C|G|12","4AY2|1|C|G|13" "3U4M|1|B|C|2143","3U4M|1|B|U|2144","3U4M|1|B|C|2146","3U4M|1|B|G|2147","3U4M|1|B|G|2148" "1KUQ|1|B|C|8","1KUQ|1|B|U|9","1KUQ|1|B|C|11","1KUQ|1|B|G|12","1KUQ|1|B|G|13" "4IOA|1|X|C|1708","4IOA|1|X|U|1709","4IOA|1|X|C|1711","4IOA|1|X|G|1712","4IOA|1|X|G|1713" "1I6U|1|C|C|16","1I6U|1|C|U|17","1I6U|1|C|C|19","1I6U|1|C|G|20","1I6U|1|C|G|21" "5J7L|1|AA|C|342","5J7L|1|AA|U|343","5J7L|1|AA|C|345","5J7L|1|AA|G|346","5J7L|1|AA|G|347" "4WF9|1|X|C|1735","4WF9|1|X|U|1736","4WF9|1|X|C|1738","4WF9|1|X|G|1739","4WF9|1|X|G|1740" "5TBW|1|1|C|1923","5TBW|1|1|U|1924","5TBW|1|1|C|1926","5TBW|1|1|G|1927","5TBW|1|1|G|1928" "1NBS|1|B|C|152","1NBS|1|B|U|153","1NBS|1|B|C|155","1NBS|1|B|G|156","1NBS|1|B|G|157" "5ML7|1|B|C|2187","5ML7|1|B|G|2188","5ML7|1|B|U|2190","5ML7|1|B|A|2191","5ML7|1|B|G|2192" "5J7L|1|DA|C|1533","5J7L|1|DA|U|1534","5J7L|1|DA|C|1536","5J7L|1|DA|G|1537","5J7L|1|DA|G|1538" "6DLR|1|A|A|61","6DLR|1|A|U|62","6DLR|1|A|C|64","6DLR|1|A|G|65","6DLR|1|A|U|66" "3IGI|1|A|G|338","3IGI|1|A|U|339","3IGI|1|A|C|341","3IGI|1|A|G|342","3IGI|1|A|C|343" "5J7L|1|AA|C|207","5J7L|1|AA|U|208","5J7L|1|AA|C|210","5J7L|1|AA|G|211","5J7L|1|AA|G|212" "3V7E|1|C|C|65","3V7E|1|C|U|66","3V7E|1|C|C|68","3V7E|1|C|G|69","3V7E|1|C|G|70" "4U7U|1|L|C|51","4U7U|1|L|G|52","4U7U|1|L|C|54","4U7U|1|L|A|55","4U7U|1|L|G|56" "2NUE|1|C|C|21","2NUE|1|C|G|22","2NUE|1|C|A|24","2NUE|1|C|A|25","2NUE|1|C|G|26" "5OB3|1|A|G|30","5OB3|1|A|U|31","5OB3|1|A|C|33","5OB3|1|A|G|34","5OB3|1|A|C|35" "6CK5|1|A|A|27","6CK5|1|A|U|28","6CK5|1|A|U|30","6CK5|1|A|G|31","6CK5|1|A|U|32" "1P6V|1|B|C|26","1P6V|1|B|U|27","1P6V|1|B|C|29","1P6V|1|B|G|30","1P6V|1|B|G|31" "5J7L|1|DA|C|2795","5J7L|1|DA|U|2796","5J7L|1|DA|U|2798","5J7L|1|DA|A|2799","5J7L|1|DA|G|2801" "6DME|1|A|C|57","6DME|1|A|U|58","6DME|1|A|C|60","6DME|1|A|G|61","6DME|1|A|G|62" "4Y4O|1|2A|G|271|||I","4Y4O|1|2A|C|271|||J","4Y4O|1|2A|U|271|||L","4Y4O|1|2A|G|271|||M","4Y4O|1|2A|C|271|||O" "2CZJ|1|H|G|25","2CZJ|1|H|U|26","2CZJ|1|H|C|28","2CZJ|1|H|G|29","2CZJ|1|H|C|30" >HL_79564.1 "6C63|1|A|C|6","6C63|1|A|G|7","6C63|1|A|A|8","6C63|1|A|A|9","6C63|1|A|G|10","6C63|1|A|G|11","6C63|1|A|G|13","6C63|1|A|A|14","6C63|1|A|G|15","6C63|1|A|G|16","6C63|1|A|G|18","6C63|1|A|G|20","6C63|1|A|G|21","6C63|1|A|A|23","6C63|1|A|G|24","6C63|1|A|A|25","6C63|1|A|G|26","6C63|1|A|G|27","6C63|1|A|A|28","6C63|1|A|G|29","6C63|1|A|A|30","6C63|1|A|G|31" >HL_80105.3 "6CF2|1|G|A|13","6CF2|1|G|C|14","6CF2|1|G|U|15","6CF2|1|G|C|17","6CF2|1|G|G|18","6CF2|1|G|G|19","6CF2|1|G|U|20" "5TBW|1|1|G|686","5TBW|1|1|U|687","5TBW|1|1|G|688","5TBW|1|1|A|690","5TBW|1|1|A|692","5TBW|1|1|A|693","5TBW|1|1|C|694" "5H9F|1|L|G|50","5H9F|1|L|C|51","5H9F|1|L|G|52","5H9F|1|L|C|54","5H9F|1|L|A|55","5H9F|1|L|G|56","5H9F|1|L|C|57" >HL_80705.1 "3NKB|1|B|C|20","3NKB|1|B|U|21","3NKB|1|B|C|22","3NKB|1|B|C|23","3NKB|1|B|C|25","3NKB|1|B|G|26","3NKB|1|B|G|28" "4PR6|1|B|C|119","4PR6|1|B|U|120","4PR6|1|B|C|121","4PR6|1|B|C|122","4PR6|1|B|C|124||A","4PR6|1|B|G|125","4PR6|1|B|G|128" >HL_81205.1 "4LFB|1|A|C|320","4LFB|1|A|A|321","4LFB|1|A|C|322","4LFB|1|A|U|323","4LFB|1|A|G|324","4LFB|1|A|A|325","4LFB|1|A|G|326","4LFB|1|A|A|327","4LFB|1|A|C|328","4LFB|1|A|A|329","4LFB|1|A|C|330","4LFB|1|A|G|331","4LFB|1|A|G|332","4LFB|1|A|G|333" "5J7L|1|AA|A|320","5J7L|1|AA|A|321","5J7L|1|AA|C|322","5J7L|1|AA|U|323","5J7L|1|AA|G|324","5J7L|1|AA|A|325","5J7L|1|AA|G|326","5J7L|1|AA|A|327","5J7L|1|AA|C|328","5J7L|1|AA|A|329","5J7L|1|AA|C|330","5J7L|1|AA|G|331","5J7L|1|AA|G|332","5J7L|1|AA|U|333" >HL_81327.1 "4V9K|1|AW|C|13","4V9K|1|AW|A|14","4V9K|1|AW|G|15","4V9K|1|AW|A|21","4V9K|1|AW|G|22" "4V90|1|AV|C|13","4V90|1|AV|A|14","4V90|1|AV|G|15","4V90|1|AV|A|21","4V90|1|AV|G|22" "2ZZN|1|D|U|13","2ZZN|1|D|A|14","2ZZN|1|D|G|15","2ZZN|1|D|U|21","2ZZN|1|D|A|22" >HL_81439.4 "3IGI|1|A|G|135","3IGI|1|A|G|136","3IGI|1|A|C|139","3IGI|1|A|A|140","3IGI|1|A|C|141" "3OXE|1|A|G|49","3OXE|1|A|G|50","3OXE|1|A|A|52","3OXE|1|A|A|53","3OXE|1|A|C|54" "5J7L|1|DA|C|1806","5J7L|1|DA|G|1807","5J7L|1|DA|A|1809","5J7L|1|DA|A|1810","5J7L|1|DA|G|1811" "4C9D|1|D|C|21","4C9D|1|D|G|22","4C9D|1|D|U|23","4C9D|1|D|A|24","4C9D|1|D|G|25" >HL_81508.1 "4V88|1|A6|G|330","4V88|1|A6|A|331","4V88|1|A6|U|332","4V88|1|A6|G|334","4V88|1|A6|U|335","4V88|1|A6|G|336","4V88|1|A6|C|338" "4LFB|1|A|G|259","4LFB|1|A|G|260","4LFB|1|A|U|261","4LFB|1|A|A|263","4LFB|1|A|U|264","4LFB|1|A|G|265","4LFB|1|A|C|267" "5J7L|1|AA|G|259","5J7L|1|AA|G|260","5J7L|1|AA|U|261","5J7L|1|AA|A|263","5J7L|1|AA|C|264","5J7L|1|AA|G|265","5J7L|1|AA|C|267" "1U9S|1|A|G|181","1U9S|1|A|G|182","1U9S|1|A|U|183","1U9S|1|A|A|185","1U9S|1|A|G|186","1U9S|1|A|A|187","1U9S|1|A|C|189" "6DLR|1|A|G|82","6DLR|1|A|A|83","6DLR|1|A|U|84","6DLR|1|A|G|86","6DLR|1|A|A|87","6DLR|1|A|A|88","6DLR|1|A|C|90" "4KQY|1|A|C|94","4KQY|1|A|U|95","4KQY|1|A|C|96","4KQY|1|A|A|98","4KQY|1|A|A|99","4KQY|1|A|C|100","4KQY|1|A|G|102" "4KQY|1|A|C|94||||4_555","4KQY|1|A|U|95||||4_555","4KQY|1|A|C|96||||4_555","4KQY|1|A|A|98||||4_555","4KQY|1|A|A|99||||4_555","4KQY|1|A|C|100||||4_555","4KQY|1|A|G|102||||4_555" "4WF9|1|X|G|1761","4WF9|1|X|U|1762","4WF9|1|X|U|1763","4WF9|1|X|A|1765","4WF9|1|X|C|1766","4WF9|1|X|G|1767","4WF9|1|X|C|1768" >HL_81820.1 "5J7L|1|DA|U|158","5J7L|1|DA|G|159","5J7L|1|DA|A|160","5J7L|1|DA|A|161","5J7L|1|DA|U|162","5J7L|1|DA|C|163","5J7L|1|DA|C|164","5J7L|1|DA|A|165","5J7L|1|DA|U|166","5J7L|1|DA|A|167","5J7L|1|DA|G|168" >HL_82303.1 "4WF9|1|X|C|654","4WF9|1|X|A|655","4WF9|1|X|G|656","4WF9|1|X|U|657","4WF9|1|X|A|659","4WF9|1|X|U|661","4WF9|1|X|G|662" "5TBW|1|1|G|1719","5TBW|1|1|U|1720","5TBW|1|1|U|1721","5TBW|1|1|U|1722","5TBW|1|1|A|1723","5TBW|1|1|U|1724","5TBW|1|1|C|1725" >HL_82678.2 "6D12|1|C|C|309","6D12|1|C|A|310","6D12|1|C|U|311","6D12|1|C|U|313","6D12|1|C|G|315" "6D12|1|C|C|328","6D12|1|C|A|329","6D12|1|C|U|330","6D12|1|C|U|332","6D12|1|C|G|334" "2Y9H|1|N|C|11","2Y9H|1|N|G|12","2Y9H|1|N|C|13","2Y9H|1|N|G|14","2Y9H|1|N|G|16" "4V88|1|A6|C|1359","4V88|1|A6|A|1360","4V88|1|A6|U|1361","4V88|1|A6|U|1362","4V88|1|A6|G|1364" "2QUS|1|A|C|42","2QUS|1|A|G|43","2QUS|1|A|U|44","2QUS|1|A|G|45","2QUS|1|A|G|47" "2QUW|1|B|C|42","2QUW|1|B|G|43","2QUW|1|B|U|44","2QUW|1|B|G|45","2QUW|1|B|G|47" >HL_82782.1 "3NVK|1|K|C|5","3NVK|1|K|U|6","3NVK|1|K|G|7","3NVK|1|K|A|8","3NVK|1|K|C|9","3NVK|1|K|C|10","3NVK|1|K|G|11","3NVK|1|K|A|12","3NVK|1|K|A|13","3NVK|1|K|A|14","3NVK|1|K|G|15","3NVK|1|K|G|16","3NVK|1|K|C|17","3NVK|1|K|G|18","3NVK|1|K|G|20","3NVK|1|K|A|21","3NVK|1|K|U|22","3NVK|1|K|G|23" >HL_82866.1 "4RZD|1|A|C|4","4RZD|1|A|A|5","4RZD|1|A|A|6","4RZD|1|A|C|7","4RZD|1|A|U|8","4RZD|1|A|U|9","4RZD|1|A|A|10","4RZD|1|A|G|11","4RZD|1|A|G|12","4RZD|1|A|A|13","4RZD|1|A|U|14","4RZD|1|A|U|15","4RZD|1|A|U|16","4RZD|1|A|U|17","4RZD|1|A|A|18","4RZD|1|A|G|19","4RZD|1|A|G|20" >HL_83225.1 "4V88|1|A6|C|777","4V88|1|A6|G|778","4V88|1|A6|A|780","4V88|1|A6|G|783" >HL_83704.1 "6DB9|1|R|G|36","6DB9|1|R|G|37","6DB9|1|R|U|38","6DB9|1|R|G|39","6DB9|1|R|A|41","6DB9|1|R|U|42","6DB9|1|R|A|44","6DB9|1|R|C|45" >HL_84050.1 "4P5J|1|A|C|7","4P5J|1|A|C|8","4P5J|1|A|G|10","4P5J|1|A|U|11","4P5J|1|A|U|12","4P5J|1|A|G|14" "6MJ0|1|B|C|32","6MJ0|1|B|C|33","6MJ0|1|B|G|35","6MJ0|1|B|U|36","6MJ0|1|B|U|37","6MJ0|1|B|G|39" >HL_85984.1 "5J7L|1|AA|U|1008","5J7L|1|AA|U|1009","5J7L|1|AA|U|1010","5J7L|1|AA|C|1011","5J7L|1|AA|A|1012","5J7L|1|AA|G|1013","5J7L|1|AA|A|1014","5J7L|1|AA|G|1015","5J7L|1|AA|A|1016","5J7L|1|AA|U|1017","5J7L|1|AA|G|1018","5J7L|1|AA|A|1019","5J7L|1|AA|G|1020","5J7L|1|AA|A|1021" >HL_86109.1 "" "" "" >HL_86378.1 "1KOG|1|O|U|82","1KOG|1|O|U|83","1KOG|1|O|U|84","1KOG|1|O|C|85","1KOG|1|O|G|86","1KOG|1|O|U|87","1KOG|1|O|G|90" "1KOG|1|O|U|82||||2_555","1KOG|1|O|U|83||||2_555","1KOG|1|O|U|84||||2_555","1KOG|1|O|C|85||||2_555","1KOG|1|O|G|86||||2_555","1KOG|1|O|U|87||||2_555","1KOG|1|O|G|90||||2_555" >HL_86769.2 "4P5J|1|A|A|69","4P5J|1|A|G|70","4P5J|1|A|G|71","4P5J|1|A|G|72","4P5J|1|A|U|73","4P5J|1|A|A|75","4P5J|1|A|U|76" "6MJ0|1|B|A|89","6MJ0|1|B|G|90","6MJ0|1|B|G|91","6MJ0|1|B|G|92","6MJ0|1|B|U|93","6MJ0|1|B|A|95","6MJ0|1|B|U|96" "1ET4|1|A|C|219","1ET4|1|A|A|220","1ET4|1|A|C|221","1ET4|1|A|C|222","1ET4|1|A|U|223","1ET4|1|A|A|225","1ET4|1|A|G|226" "4WF9|1|X|G|67","4WF9|1|X|A|68","4WF9|1|X|C|69","4WF9|1|X|G|70","4WF9|1|X|A|71","4WF9|1|X|A|73","4WF9|1|X|U|74" >HL_86843.1 "1U0B|1|A|A|12","1U0B|1|A|A|13","1U0B|1|A|A|14","1U0B|1|A|G|15","1U0B|1|A|C|16","1U0B|1|A|A|22","1U0B|1|A|U|23" "5X6B|1|P|C|12","5X6B|1|P|U|13","5X6B|1|P|A|14","5X6B|1|P|G|15","5X6B|1|P|G|16","5X6B|1|P|A|21","5X6B|1|P|G|22" >HL_86880.2 "4WF9|1|X|G|1361","4WF9|1|X|U|1363","4WF9|1|X|C|1364","4WF9|1|X|G|1365","4WF9|1|X|C|1367" "4IOA|1|X|G|1337","4IOA|1|X|U|1339","4IOA|1|X|C|1340","4IOA|1|X|G|1341","4IOA|1|X|C|1343" "4Y4O|1|2A|G|1324","4Y4O|1|2A|U|1326","4Y4O|1|2A|C|1327","4Y4O|1|2A|G|1328","4Y4O|1|2A|C|1330" "4V9F|1|0|G|1430","4V9F|1|0|U|1432","4V9F|1|0|G|1433","4V9F|1|0|A|1434","4V9F|1|0|C|1436" "5J7L|1|DA|G|1492","5J7L|1|DA|A|1494","5J7L|1|DA|A|1495","5J7L|1|DA|A|1496","5J7L|1|DA|C|1498" "5TBW|1|1|A|1506","5TBW|1|1|C|1508","5TBW|1|1|A|1509","5TBW|1|1|G|1510","5TBW|1|1|U|1512" "4Y4O|1|2A|G|1492","4Y4O|1|2A|A|1494","4Y4O|1|2A|A|1495","4Y4O|1|2A|A|1496","4Y4O|1|2A|C|1498" "5J7L|1|DA|G|1324","5J7L|1|DA|U|1326","5J7L|1|DA|A|1327","5J7L|1|DA|A|1328","5J7L|1|DA|C|1330" "5VJ9|1|C|G|6","5VJ9|1|C|A|7","5VJ9|1|C|C|8","5VJ9|1|C|G|9","5VJ9|1|C|C|11" "5NDI|1|B|G|8","5NDI|1|B|A|9","5NDI|1|B|C|10","5NDI|1|B|G|11","5NDI|1|B|C|13" "5NDH|1|A|G|6","5NDH|1|A|A|7","5NDH|1|A|C|8","5NDH|1|A|G|9","5NDH|1|A|C|11" "5NEP|1|A|G|7","5NEP|1|A|A|8","5NEP|1|A|C|9","5NEP|1|A|G|10","5NEP|1|A|C|12" "5NEP|1|A|G|7||||3_455","5NEP|1|A|A|8||||3_455","5NEP|1|A|C|9||||3_455","5NEP|1|A|G|10||||3_455","5NEP|1|A|C|12||||3_455" "5NEP|1|A|G|7||||2_565","5NEP|1|A|A|8||||2_565","5NEP|1|A|C|9||||2_565","5NEP|1|A|G|10||||2_565","5NEP|1|A|C|12||||2_565" "4IOA|1|X|U|1647","4IOA|1|X|C|1648","4IOA|1|X|A|1649","4IOA|1|X|A|1650","4IOA|1|X|G|1652" "4Y4O|1|2A|C|1631","4Y4O|1|2A|A|1631|||A","4Y4O|1|2A|A|1632","4Y4O|1|2A|G|1633","4Y4O|1|2A|G|1635" >HL_87219.3 "5J7L|1|AA|G|786","5J7L|1|AA|A|787","5J7L|1|AA|U|788","5J7L|1|AA|U|789","5J7L|1|AA|A|790","5J7L|1|AA|G|791","5J7L|1|AA|A|792","5J7L|1|AA|A|794","5J7L|1|AA|C|795","5J7L|1|AA|C|796" "4LFB|1|A|G|786","4LFB|1|A|A|787","4LFB|1|A|U|788","4LFB|1|A|U|789","4LFB|1|A|A|790","4LFB|1|A|G|791","4LFB|1|A|A|792","4LFB|1|A|A|794","4LFB|1|A|C|795","4LFB|1|A|C|796" "4V88|1|A6|G|997","4V88|1|A6|A|998","4V88|1|A6|U|999","4V88|1|A6|C|1000","4V88|1|A6|A|1001","4V88|1|A6|G|1002","4V88|1|A6|A|1003","4V88|1|A6|A|1005","4V88|1|A6|C|1006","4V88|1|A6|C|1007" "5J7L|1|AA|C|689","5J7L|1|AA|G|690","5J7L|1|AA|G|691","5J7L|1|AA|U|692","5J7L|1|AA|G|693","5J7L|1|AA|A|694","5J7L|1|AA|A|695","5J7L|1|AA|A|696","5J7L|1|AA|U|697","5J7L|1|AA|G|698" "4LFB|1|A|C|689","4LFB|1|A|G|690","4LFB|1|A|G|691","4LFB|1|A|U|692","4LFB|1|A|G|693","4LFB|1|A|A|694","4LFB|1|A|A|695","4LFB|1|A|A|696","4LFB|1|A|U|697","4LFB|1|A|G|698" "4V88|1|A6|A|900","4V88|1|A6|G|901","4V88|1|A6|G|902","4V88|1|A6|U|903","4V88|1|A6|G|904","4V88|1|A6|A|905","4V88|1|A6|A|906","4V88|1|A6|A|907","4V88|1|A6|U|908","4V88|1|A6|U|909" "3R9X|1|C|A|1513","3R9X|1|C|G|1514","3R9X|1|C|G|1515","3R9X|1|C|G|1516","3R9X|1|C|G|1517","3R9X|1|C|A|1518","3R9X|1|C|A|1519","3R9X|1|C|C|1520","3R9X|1|C|C|1521","3R9X|1|C|U|1522" "5TBW|1|1|G|1266","5TBW|1|1|U|1267","5TBW|1|1|G|1268","5TBW|1|1|U|1269","5TBW|1|1|A|1270","5TBW|1|1|A|1271","5TBW|1|1|C|1272","5TBW|1|1|A|1273","5TBW|1|1|A|1274","5TBW|1|1|C|1275" "4WSM|1|3L|G|63","4WSM|1|3L|G|64","4WSM|1|3L|U|65","4WSM|1|3L|U|66","4WSM|1|3L|C|67","4WSM|1|3L|A|68","4WSM|1|3L|A|69","4WSM|1|3L|U|71","4WSM|1|3L|C|72","4WSM|1|3L|C|73" >HL_88982.1 "4Z7K|1|C|C|17","4Z7K|1|C|A|18","4Z7K|1|C|A|19","4Z7K|1|C|A|21","4Z7K|1|C|C|25","4Z7K|1|C|A|26","4Z7K|1|C|A|27","4Z7K|1|C|G|28" "4Z7L|1|I|C|17","4Z7L|1|I|A|18","4Z7L|1|I|A|19","4Z7L|1|I|A|21","4Z7L|1|I|C|25","4Z7L|1|I|A|26","4Z7L|1|I|A|27","4Z7L|1|I|G|28" >HL_89082.1 "3EGZ|1|B|G|12||||3_555","3EGZ|1|B|A|13||||3_555","3EGZ|1|B|A|14||||3_555","3EGZ|1|B|G|15||||3_555","3EGZ|1|B|A|16||||3_555","3EGZ|1|B|U|18||||3_555","3EGZ|1|B|A|19||||3_555","3EGZ|1|B|C|20||||3_555","3EGZ|1|B|A|22||||3_555","3EGZ|1|B|C|23||||3_555","3EGZ|1|B|C|24||||3_555" "3EGZ|1|B|G|12||||4_455","3EGZ|1|B|A|13||||4_455","3EGZ|1|B|A|14||||4_455","3EGZ|1|B|G|15||||4_455","3EGZ|1|B|A|16||||4_455","3EGZ|1|B|U|18||||4_455","3EGZ|1|B|A|19||||4_455","3EGZ|1|B|C|20||||4_455","3EGZ|1|B|A|22||||4_455","3EGZ|1|B|C|23||||4_455","3EGZ|1|B|C|24||||4_455" "3EGZ|1|B|G|12","3EGZ|1|B|A|13","3EGZ|1|B|A|14","3EGZ|1|B|G|15","3EGZ|1|B|A|16","3EGZ|1|B|U|18","3EGZ|1|B|A|19","3EGZ|1|B|C|20","3EGZ|1|B|A|22","3EGZ|1|B|C|23","3EGZ|1|B|C|24" "3EGZ|1|B|G|12||||2_565","3EGZ|1|B|A|13||||2_565","3EGZ|1|B|A|14||||2_565","3EGZ|1|B|G|15||||2_565","3EGZ|1|B|A|16||||2_565","3EGZ|1|B|U|18||||2_565","3EGZ|1|B|A|19||||2_565","3EGZ|1|B|C|20||||2_565","3EGZ|1|B|A|22||||2_565","3EGZ|1|B|C|23||||2_565","3EGZ|1|B|C|24||||2_565" >HL_90197.1 "3NDB|1|M|U|162","3NDB|1|M|G|163","3NDB|1|M|A|165","3NDB|1|M|G|166","3NDB|1|M|G|167" >HL_90642.3 "4Y4O|1|2A|G|2027","4Y4O|1|2A|U|2028","4Y4O|1|2A|G|2029","4Y4O|1|2A|A|2033","4Y4O|1|2A|U|2034","4Y4O|1|2A|G|2035","4Y4O|1|2A|C|2036" "4WF9|1|X|G|2054","4WF9|1|X|U|2055","4WF9|1|X|G|2056","4WF9|1|X|A|2060","4WF9|1|X|U|2061","4WF9|1|X|G|2062","4WF9|1|X|C|2063" "4V9F|1|0|G|2068","4V9F|1|0|U|2069","4V9F|1|0|G|2070","4V9F|1|0|A|2074","4V9F|1|0|G|2075","4V9F|1|0|U|2076","4V9F|1|0|C|2077" "5TBW|1|1|G|2369","5TBW|1|1|G|2370","5TBW|1|1|G|2371","5TBW|1|1|G|2375","5TBW|1|1|G|2376","5TBW|1|1|G|2377","5TBW|1|1|C|2378" "4IOA|1|X|G|2010","4IOA|1|X|U|2011","4IOA|1|X|A|2012","4IOA|1|X|A|2016","4IOA|1|X|U|2017","4IOA|1|X|G|2018","4IOA|1|X|C|2019" >HL_90961.1 "3SIU|1|F|C|40","3SIU|1|F|G|41","3SIU|1|F|U|44","3SIU|1|F|G|46" >HL_91050.1 "4IOA|1|X|C|651","4IOA|1|X|C|652","4IOA|1|X|G|653","4IOA|1|X|A|655","4IOA|1|X|U|656","4IOA|1|X|A|657","4IOA|1|X|G|658" "5Y58|1|X|U|297","5Y58|1|X|G|298","5Y58|1|X|G|299","5Y58|1|X|U|301","5Y58|1|X|A|302","5Y58|1|X|A|303","5Y58|1|X|A|305" >HL_91131.1 "2D6F|1|F|G|931","2D6F|1|F|C|932","2D6F|1|F|U|933","2D6F|1|F|G|936","2D6F|1|F|G|937","2D6F|1|F|A|938","2D6F|1|F|C|939" >HL_91503.1 "4Y4O|1|2A|G|382","4Y4O|1|2A|U|383","4Y4O|1|2A|U|384","4Y4O|1|2A|C|385","4Y4O|1|2A|U|387","4Y4O|1|2A|G|388","4Y4O|1|2A|A|390","4Y4O|1|2A|G|391","4Y4O|1|2A|C|392" "4IOA|1|X|G|395","4IOA|1|X|U|396","4IOA|1|X|U|397","4IOA|1|X|C|398","4IOA|1|X|U|400","4IOA|1|X|G|401","4IOA|1|X|A|403","4IOA|1|X|A|404","4IOA|1|X|C|405" "5J7L|1|DA|A|382","5J7L|1|DA|C|383","5J7L|1|DA|A|384","5J7L|1|DA|C|385","5J7L|1|DA|U|387","5J7L|1|DA|G|388","5J7L|1|DA|U|390","5J7L|1|DA|A|391","5J7L|1|DA|U|392" >HL_92304.2 "5D8H|1|A|C|1174","5D8H|1|A|U|1175","5D8H|1|A|U|1176","5D8H|1|A|A|1177","5D8H|1|A|G|1178","5D8H|1|A|A|1179","5D8H|1|A|G|1181","5D8H|1|A|C|1182","5D8H|1|A|A|1183","5D8H|1|A|G|1184" "1HC8|1|C|C|114","1HC8|1|C|U|115","1HC8|1|C|U|116","1HC8|1|C|A|117","1HC8|1|C|G|118","1HC8|1|C|A|119","1HC8|1|C|G|121","1HC8|1|C|C|122","1HC8|1|C|A|123","1HC8|1|C|G|124" "1MMS|1|C|C|1064","1MMS|1|C|U|1065","1MMS|1|C|U|1066","1MMS|1|C|A|1067","1MMS|1|C|G|1068","1MMS|1|C|A|1069","1MMS|1|C|G|1071","1MMS|1|C|C|1072","1MMS|1|C|A|1073","1MMS|1|C|G|1074" "5J7L|1|DA|C|1064","5J7L|1|DA|U|1065","5J7L|1|DA|U|1066","5J7L|1|DA|A|1067","5J7L|1|DA|G|1068","5J7L|1|DA|A|1069","5J7L|1|DA|G|1071","5J7L|1|DA|C|1072","5J7L|1|DA|A|1073","5J7L|1|DA|G|1074" "5TBW|1|1|C|1239","5TBW|1|1|A|1240","5TBW|1|1|U|1241","5TBW|1|1|G|1242","5TBW|1|1|G|1243","5TBW|1|1|A|1244","5TBW|1|1|G|1246","5TBW|1|1|U|1247","5TBW|1|1|C|1248","5TBW|1|1|G|1249" >HL_92488.1 "" >HL_92681.3 "4IOA|1|X|U|2507","4IOA|1|X|A|2509","4IOA|1|X|A|2510","4IOA|1|X|G|2511","4IOA|1|X|A|2512","4IOA|1|X|A|2513","4IOA|1|X|G|2514" "4V9F|1|0|U|2563","4V9F|1|0|C|2565","4V9F|1|0|A|2566","4V9F|1|0|G|2567","4V9F|1|0|A|2568","4V9F|1|0|A|2569","4V9F|1|0|G|2570" "4Y4O|1|2A|U|2528","4Y4O|1|2A|A|2530","4Y4O|1|2A|A|2531","4Y4O|1|2A|G|2532","4Y4O|1|2A|A|2533","4Y4O|1|2A|A|2534","4Y4O|1|2A|G|2535" "4WF9|1|X|U|2555","4WF9|1|X|U|2557","4WF9|1|X|A|2558","4WF9|1|X|G|2559","4WF9|1|X|U|2560","4WF9|1|X|C|2561","4WF9|1|X|G|2562" "5J7L|1|DA|U|2528","5J7L|1|DA|A|2530","5J7L|1|DA|A|2531","5J7L|1|DA|G|2532","5J7L|1|DA|U|2533","5J7L|1|DA|A|2534","5J7L|1|DA|G|2535" "5TBW|1|1|A|2897","5TBW|1|1|C|2899","5TBW|1|1|A|2900","5TBW|1|1|G|2901","5TBW|1|1|A|2902","5TBW|1|1|A|2903","5TBW|1|1|U|2904" "3OXE|1|A|G|17","3OXE|1|A|U|19","3OXE|1|A|U|20","3OXE|1|A|A|21","3OXE|1|A|A|22","3OXE|1|A|U|23","3OXE|1|A|C|24" >HL_93146.1 "6H9I|1|C|G|12","6H9I|1|C|A|14","6H9I|1|C|C|16" >HL_93212.3 "5TBW|1|1|G|1680","5TBW|1|1|U|1681","5TBW|1|1|U|1682","5TBW|1|1|A|1683","5TBW|1|1|U|1684","5TBW|1|1|C|1685","5TBW|1|1|U|1686","5TBW|1|1|U|1688" "4V88|1|A6|G|1594","4V88|1|A6|U|1595","4V88|1|A6|C|1596","4V88|1|A6|A|1597","4V88|1|A6|U|1598","4V88|1|A6|C|1599","4V88|1|A6|A|1600","4V88|1|A6|C|1602" "5T83|1|A|G|77","5T83|1|A|A|78","5T83|1|A|U|79","5T83|1|A|A|80","5T83|1|A|A|81","5T83|1|A|A|82","5T83|1|A|A|83","5T83|1|A|C|85" >HL_93221.1 "1EC6|1|D|G|4","1EC6|1|D|A|5","1EC6|1|D|C|6","1EC6|1|D|C|7","1EC6|1|D|U|8","1EC6|1|D|A|9","1EC6|1|D|G|10","1EC6|1|D|A|11","1EC6|1|D|C|13","1EC6|1|D|A|14","1EC6|1|D|C|15","1EC6|1|D|C|16","1EC6|1|D|C|17" >HL_93229.1 "5J7L|1|AA|C|840","5J7L|1|AA|C|841","5J7L|1|AA|U|843","5J7L|1|AA|A|845","5J7L|1|AA|G|846" >HL_93551.1 "4Y4O|1|2A|C|1451","4Y4O|1|2A|A|1452","4Y4O|1|2A|U|1453","4Y4O|1|2A|G|1455","4Y4O|1|2A|G|1456","4Y4O|1|2A|A|1457","4Y4O|1|2A|C|1458","4Y4O|1|2A|G|1459" "5J7L|1|DA|C|1451","5J7L|1|DA|G|1452","5J7L|1|DA|C|1454","5J7L|1|DA|G|1455","5J7L|1|DA|G|1456","5J7L|1|DA|U|1457","5J7L|1|DA|U|1458","5J7L|1|DA|G|1459" >HL_93573.1 "4V88|1|A6|C|716","4V88|1|A6|C|717","4V88|1|A6|U|719","4V88|1|A6|G|720","4V88|1|A6|U|721","4V88|1|A6|G|722" >HL_93650.1 "6D3P|1|A|C|13||||12_566","6D3P|1|A|A|14||||12_566","6D3P|1|A|U|15||||12_566","6D3P|1|A|C|16||||12_566","6D3P|1|A|C|17||||12_566","6D3P|1|A|G|18||||12_566","6D3P|1|A|A|19||||12_566","6D3P|1|A|G|20||||12_566","6D3P|1|A|U|21||||12_566","6D3P|1|A|U|22||||12_566","6D3P|1|A|G|23||||12_566","6D3P|1|A|C|24||||12_566","6D3P|1|A|A|25||||12_566","6D3P|1|A|A|26||||12_566","6D3P|1|A|G|27||||12_566" "6D3P|1|A|C|13","6D3P|1|A|A|14","6D3P|1|A|U|15","6D3P|1|A|C|16","6D3P|1|A|C|17","6D3P|1|A|G|18","6D3P|1|A|A|19","6D3P|1|A|G|20","6D3P|1|A|U|21","6D3P|1|A|U|22","6D3P|1|A|G|23","6D3P|1|A|C|24","6D3P|1|A|A|25","6D3P|1|A|A|26","6D3P|1|A|G|27" >HL_94032.1 "" >HL_94352.2 "5XUZ|1|B|C|-12","5XUZ|1|B|U|-11","5XUZ|1|B|G|-6" "5ID6|1|G|C|11","5ID6|1|G|U|12","5ID6|1|G|G|17" "4V9F|1|0|G|118","4V9F|1|0|A|119","4V9F|1|0|C|122" >HL_94363.1 "4IOA|1|X|C|1731","4IOA|1|X|U|1733","4IOA|1|X|C|1734","4IOA|1|X|G|1735" >HL_94607.1 "3AMU|1|B|G|30","3AMU|1|B|G|31","3AMU|1|B|C|32","3AMU|1|B|U|33","3AMU|1|B|U|36","3AMU|1|B|A|37","3AMU|1|B|C|40" >HL_94651.1 "5F5H|1|C|C|8","5F5H|1|C|G|9","5F5H|1|C|U|10","5F5H|1|C|U|11","5F5H|1|C|U|13","5F5H|1|C|A|14","5F5H|1|C|G|15" "5F5H|1|D|C|8","5F5H|1|D|G|9","5F5H|1|D|U|10","5F5H|1|D|U|11","5F5H|1|D|U|13","5F5H|1|D|A|14","5F5H|1|D|G|15" "5F5F|1|F|C|7","5F5F|1|F|G|8","5F5F|1|F|U|9","5F5F|1|F|U|10","5F5F|1|F|U|12","5F5F|1|F|A|13","5F5F|1|F|G|14" "5F5F|1|B|C|7","5F5F|1|B|G|8","5F5F|1|B|U|9","5F5F|1|B|U|10","5F5F|1|B|U|12","5F5F|1|B|A|13","5F5F|1|B|G|14" >HL_94657.1 "4IOA|1|X|A|2189","4IOA|1|X|A|2190","4IOA|1|X|U|2192","4IOA|1|X|C|2193","4IOA|1|X|A|2194","4IOA|1|X|C|2195","4IOA|1|X|U|2196" "5U3G|1|B|G|68","5U3G|1|B|A|69","5U3G|1|B|A|71","5U3G|1|B|A|72","5U3G|1|B|A|73","5U3G|1|B|A|74","5U3G|1|B|C|76" >HL_94757.1 "4Y4O|1|2A|A|225","4Y4O|1|2A|G|226","4Y4O|1|2A|A|227","4Y4O|1|2A|A|228","4Y4O|1|2A|U|230" "4IOA|1|X|A|202","4IOA|1|X|G|203","4IOA|1|X|A|204","4IOA|1|X|A|205","4IOA|1|X|U|207" "5TBW|1|4|C|108","5TBW|1|4|A|109","5TBW|1|4|C|110","5TBW|1|4|U|112","5TBW|1|4|G|114" "4UYK|1|R|U|98","4UYK|1|R|G|99","4UYK|1|R|U|100","4UYK|1|R|A|101","4UYK|1|R|G|103" >HL_95237.1 "5B2P|1|B|U|82","5B2P|1|B|U|84","5B2P|1|B|G|85","5B2P|1|B|A|86" >HL_96277.1 "4IOA|1|X|G|1767","4IOA|1|X|U|1768","4IOA|1|X|U|1769","4IOA|1|X|U|1770","4IOA|1|X|A|1774","4IOA|1|X|A|1775","4IOA|1|X|A|1776","4IOA|1|X|U|1778","4IOA|1|X|C|1779" >HL_96487.1 "5UD5|1|C|C|13","5UD5|1|C|A|14","5UD5|1|C|U|15","5UD5|1|C|G|21" >HL_96776.2 "5TBW|1|1|C|69","5TBW|1|1|A|70","5TBW|1|1|A|71","5TBW|1|1|C|72","5TBW|1|1|G|74" "4WF9|1|X|A|228","4WF9|1|X|A|229","4WF9|1|X|A|230","4WF9|1|X|A|231","4WF9|1|X|U|233" "4V88|1|A6|C|190","4V88|1|A6|C|191","4V88|1|A6|U|192","4V88|1|A6|U|193","4V88|1|A6|G|195" >HL_96854.1 "4V88|1|A6|G|1188","4V88|1|A6|A|1189","4V88|1|A6|C|1190","4V88|1|A6|U|1191","4V88|1|A6|C|1192","4V88|1|A6|A|1193","4V88|1|A6|A|1194","4V88|1|A6|C|1195","4V88|1|A6|C|1197" >HL_97049.1 "4YCO|1|D|C|13","4YCO|1|D|A|14","4YCO|1|D|G|15","4YCO|1|D|G|19","4YCO|1|D|U|20","4YCO|1|D|A|21","4YCO|1|D|G|22" >HL_97126.1 "4ILM|1|C|U|8","4ILM|1|C|C|10","4ILM|1|C|A|12","4ILM|1|C|A|14" "4V9F|1|0|U|125","4V9F|1|0|U|127","4V9F|1|0|A|128","4V9F|1|0|A|129" >HL_97733.1 "" >HL_98248.1 "5TBW|1|1|G|2253","5TBW|1|1|U|2254","5TBW|1|1|A|2255","5TBW|1|1|C|2257","5TBW|1|1|U|2258","5TBW|1|1|A|2259","5TBW|1|1|U|2260","5TBW|1|1|G|2261","5TBW|1|1|A|2262","5TBW|1|1|C|2263" >HL_98252.1 "" >HL_98557.3 "4Y4O|1|2A|C|319","4Y4O|1|2A|A|320","4Y4O|1|2A|G|323" "5TBW|1|1|C|208","5TBW|1|1|A|209","5TBW|1|1|G|212" "4WF9|1|X|C|362","4WF9|1|X|A|363","4WF9|1|X|G|366" "4IOA|1|X|C|330","4IOA|1|X|U|331","4IOA|1|X|G|334" "4V9F|1|0|G|326","4V9F|1|0|A|327","4V9F|1|0|C|330" "2Y9H|1|F|C|11","2Y9H|1|F|G|12","2Y9H|1|F|G|16" "5J7L|1|DA|G|319","5J7L|1|DA|A|320","5J7L|1|DA|C|323" >HL_98841.1 "6F4H|1|D|C|6","6F4H|1|D|A|7","6F4H|1|D|U|8","6F4H|1|D|U|9","6F4H|1|D|G|10","6F4H|1|D|C|11","6F4H|1|D|A|12","6F4H|1|D|C|13","6F4H|1|D|U|15","6F4H|1|D|C|16","6F4H|1|D|G|17" >HL_98870.1 "" "" >HL_98885.3 "1ZCI|1|A|G|7","1ZCI|1|A|A|8","1ZCI|1|A|G|10","1ZCI|1|A|U|11","1ZCI|1|A|G|12","1ZCI|1|A|C|13","1ZCI|1|A|A|14","1ZCI|1|A|C|15","1ZCI|1|A|A|16","1ZCI|1|A|C|17" "1ZCI|1|B|G|7","1ZCI|1|B|A|8","1ZCI|1|B|G|10","1ZCI|1|B|U|11","1ZCI|1|B|G|12","1ZCI|1|B|C|13","1ZCI|1|B|A|14","1ZCI|1|B|C|15","1ZCI|1|B|A|16","1ZCI|1|B|C|17" "4PJO|1|1|G|17","4PJO|1|1|A|18","4PJO|1|1|G|20","4PJO|1|1|U|21","4PJO|1|1|G|22","4PJO|1|1|C|23","4PJO|1|1|A|24","4PJO|1|1|C|25","4PJO|1|1|A|26","4PJO|1|1|C|27" >HL_98995.3 "4IOA|1|X|U|2680","4IOA|1|X|A|2681","4IOA|1|X|C|2682","4IOA|1|X|C|2683","4IOA|1|X|A|2684","4IOA|1|X|A|2685" "5J7L|1|DA|U|2701","5J7L|1|DA|G|2702","5J7L|1|DA|C|2703","5J7L|1|DA|C|2704","5J7L|1|DA|A|2705","5J7L|1|DA|A|2706" "4WF9|1|X|U|2728","4WF9|1|X|G|2729","4WF9|1|X|C|2730","4WF9|1|X|C|2731","4WF9|1|X|A|2732","4WF9|1|X|A|2733" "5TBW|1|1|U|3068","5TBW|1|1|G|3069","5TBW|1|1|A|3070","5TBW|1|1|U|3071","5TBW|1|1|C|3072","5TBW|1|1|A|3073" "4V9F|1|0|C|2737","4V9F|1|0|G|2738","4V9F|1|0|A|2739","4V9F|1|0|G|2740","4V9F|1|0|A|2741","4V9F|1|0|G|2742" "4PQV|1|A|C|28","4PQV|1|A|G|29","4PQV|1|A|C|30","4PQV|1|A|C|31","4PQV|1|A|G|32","4PQV|1|A|G|34" "1U6B|1|B|C|70","1U6B|1|B|G|71","1U6B|1|B|C|72","1U6B|1|B|C|73","1U6B|1|B|C|74","1U6B|1|B|G|75" "4IOA|1|X|G|1508","4IOA|1|X|A|1509","4IOA|1|X|A|1510","4IOA|1|X|A|1511","4IOA|1|X|A|1512","4IOA|1|X|C|1514" >HL_99402.2 "4V9F|1|0|U|55","4V9F|1|0|G|56","4V9F|1|0|C|57","4V9F|1|0|C|58","4V9F|1|0|A|59","4V9F|1|0|A|60","4V9F|1|0|G|61","4V9F|1|0|C|62","4V9F|1|0|U|63","4V9F|1|0|G|64" "4IOA|1|X|C|58","4IOA|1|X|G|59","4IOA|1|X|A|60","4IOA|1|X|U|61","4IOA|1|X|U|62","4IOA|1|X|A|63","4IOA|1|X|C|64","4IOA|1|X|C|65","4IOA|1|X|U|66","4IOA|1|X|G|67" "4Y4O|1|2A|U|59","4Y4O|1|2A|G|60","4Y4O|1|2A|G|61","4Y4O|1|2A|C|62","4Y4O|1|2A|U|63","4Y4O|1|2A|A|64","4Y4O|1|2A|C|65","4Y4O|1|2A|C|66","4Y4O|1|2A|U|67","4Y4O|1|2A|G|68" "2XDB|1|G|U|4","2XDB|1|G|G|5","2XDB|1|G|A|6","2XDB|1|G|U|7","2XDB|1|G|U|8","2XDB|1|G|U|9","2XDB|1|G|G|10","2XDB|1|G|C|11","2XDB|1|G|U|12","2XDB|1|G|A|13" "2XD0|1|W|U|4","2XD0|1|W|G|5","2XD0|1|W|A|6","2XD0|1|W|U|7","2XD0|1|W|U|8","2XD0|1|W|U|9","2XD0|1|W|G|10","2XD0|1|W|C|11","2XD0|1|W|U|12","2XD0|1|W|A|13"